Kofi Makinwa

Publications

  1. A 120.9-dB DR Digital-Input Capacitively Coupled Chopper Class-D Audio Amplifier
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    IEEE Journal of Solid-State Circuits,
    pp. 1-11, 2023. DOI: 10.1109/JSSC.2023.3318731

  2. A Hybrid Magnetic Current Sensor With a Dual Differential DC Servo Loop
    Jouyaeian, Amirhossein; Fan, Qinwen; Ausserlechner, Udo; Motz, Mario; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    pp. 1-8, 2023. DOI: 10.1109/JSSC.2023.3307471

  3. A Sub-1 V Capacitively Biased BJT-Based Temperature Sensor With an Inaccuracy of ±0.15°C (3σ) from −55°C to 125°C
    Tang, Zhong; Pan, Sining; Grubor, Miloš; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    pp. 1-9, 2023. DOI: 10.1109/JSSC.2023.3308554

  4. A Chopper-Stabilized Amplifier With a Relaxed Fill-In Technique and 22.6-pA Input Current
    Rooijers, Thije; Huijsing, Johan H.; Makinwa, Kofi A. A.;
    IEEE Solid-State Circuits Letters,
    Volume 6, pp. 165-168, 2023. DOI: 10.1109/LSSC.2023.3286779

  5. A Glimpse of the History of Analog ICs: A Tale of Amplifiers, Data Converters, and Sensor Interfaces
    Chae, Youngcheol; Lopez, Carolina Mora; Makinwa, Kofi A.A.; Ortmanns, Maurits; Sansen, Willy;
    IEEE Solid-State Circuits Magazine,
    Volume 15, Issue 3, pp. 43-52, 2023. DOI: 10.1109/MSSC.2023.3282557

  6. Quantifying Biomedical Amplifier Efficiency: The noise efficiency factor
    Hall, Drew A.; Makinwa, Kofi A.A.; Jang, Taekwang;
    IEEE Solid-State Circuits Magazine,
    Volume 15, Issue 2, pp. 28-33, 2023. DOI: 10.1109/MSSC.2023.3256353

  7. A Hybrid Magnetic Current Sensor With a Multiplexed Ripple-Reduction Loop
    Jouyaeian, Amirhossein; Fan, Qinwen; Zamparette, Roger; Ausserlechner, Udo; Motz, Mario; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 58, Issue 10, pp. 2874-2882, 2023. DOI: 10.1109/JSSC.2023.3273389

  8. A Compact 10-MHz RC Frequency Reference With a Versatile Temperature Compensation Scheme
    Pan, Sining; An, Xiaomeng; Yu, Zheru; Jiang, Hui; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    pp. 1-9, 2023. DOI: 10.1109/JSSC.2023.3322307

  9. A Bias-Flip Rectifier With Duty-Cycle-Based MPPT for Piezoelectric Energy Harvesting
    Yue, Xinling; Javvaji, Sundeep; Tang, Zhong; Makinwa, Kofi A. A.; Du, Sijun;
    IEEE Journal of Solid-State Circuits,
    pp. 1-11, 2023. DOI: 10.1109/JSSC.2023.3313733

  10. A Bias-Flip Rectifier With Duty-Cycle-Based MPPT for Piezoelectric Energy Harvesting
    Yue, X.; Javvaji, S.; Tang, Z.; Makinwa, K. A. A.; Du, S.;
    IEEE Journal of Solid-State Circuits,
    pp. 1-11, 2023. DOI: 10.1109/JSSC.2023.3313733

  11. Biomedical Electronics, Noise Shaping ADCs, and Frequency References: Advances in Analog Circuit Design 2022
    Pieter Harpe; Andrea Baschirotto; Makinwa, Kofi A.A. (Ed.);
    Springer, , 2023. DOI: 10.1007/978-3-031-28912-5
    Abstract: ... This book is based on the 18 tutorials presented during the 30th workshop on Advances in Analog Circuit Design. Expert designers present readers with information about a variety of topics at the frontier of analog circuit design, with specific contributions focusing on analog circuits for machine learning, current/voltage/temperature sensors, and high-speed communication via wireless, wireline, or optical links. This book serves as a valuable reference to the state-of-the-art, for anyone involved in analog circuit research and development.

  12. The Zoom ADC: An Evolving Architecture
    Eland, Efraïm; Mehrotra, Shubham; Karmakar, Shoubhik; van Veldhoven, Robert; Makinwa, Kofi A. A.;
    Harpe, Pieter; Baschirotto, Andrea; Makinwa, Kofi A.A. (Ed.);
    Cham: Springer International Publishing, , pp. 179--201, 2023. DOI: 10.1007/978-3-031-28912-5_10
    Abstract: ... Zoom ADCs combine a coarse SAR ADC with a fine delta-sigma modulator ($\Delta$$\Sigma$M) to efficiently obtain high energy efficiency and high dynamic range. This makes them well suited for use in various instrumentation and audio applications. However, zoom ADCs also have drawbacks. The use of over-ranging in their fine modulators may limit SNDR, large out-of-band interferers may cause slope overload, and the quantization noise of their coarse ADC may leak into the baseband. This chapter presents an overview of recent advances in zoom ADCs that tackle these challenges while maintaining high energy efficiency. Prototypes designed in standard 0.16 $\mu$m technology achieve SNDRs over 100 dB in bandwidths ranging from 1 to 24 kHz while consuming only hundreds of $\mu$Ws.

  13. 30.3 A Bias-Flip Rectifier with a Duty-Cycle-Based MPPT Algorithm for Piezoelectric Energy Harvesting with 98% Peak MPPT Efficiency and 738% Energy-Extraction Enhancement
    Yue, X.; Javvaji, S.; Tang, Z.; Makinwa, K. A. A.; Du, S.;
    In 2023 IEEE International Solid- State Circuits Conference (ISSCC),
    pp. 442-444, 2023. DOI: 10.1109/ISSCC42615.2023.10067284

  14. A 6GHz Multi-Path Multi-Frequency Chopping CTΔΣ Modulator achieving 122dBFS SFDR from 150kHz to 120MHz BW
    Javvaji, Sundeep; Bolatkale, Muhammed; Bajoria, Shagun; Rutten, Robert; Essink, Bert Oude; Beijens, Koen; Makinwa, Kofi; Breems, Lucien;
    In 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
    pp. 1-2, 2023. DOI: 10.23919/VLSITechnologyandCir57934.2023.10185356

  15. A 720 nW Current Sensor with 0-to-15 V Input Common-Mode Range and ±0.5% Gain Error from −40 to 85 °C
    Zamparette, Roger; Makinwa, Kofi;
    In 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
    pp. 1-2, 2023. DOI: 10.23919/VLSITechnologyandCir57934.2023.10185309

  16. A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer
    Lu, Tianqi; Chang, Zu-Yao; Jiang, Junmin; Makinwa, Kofi; Du, Sijun;
    In 2023 IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-2, 2023. DOI: 10.1109/CICC57935.2023.10121186

  17. A 120.9dB DR, -111.2dB THD+N Digital-Input Capacitively-Coupled Chopper Class-D Audio Amplifier
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 54-56, 2023. DOI: 10.1109/ISSCC42615.2023.10067400

  18. A 51A Hybrid Magnetic Current Sensor with a Dual Differential DC Servo Loop and 43mArms Resolution in a 5MHz Bandwidth
    Jouyaeian, Amirhossein; Fan, Qinwen; Motz, Mario; Ausserlechner, Udo; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 22-24, 2023. DOI: 10.1109/ISSCC42615.2023.10067677

  19. A BJT-Based Temperature Sensor with±0.1°C (3σ) Inaccuracy from -55°C to 125°C and a 0.85pJ.K2 Resolution FoM Using Continuous-Time Readout
    Toth, Nandor G.; Tang, Zhong; Someya, Teruki; Pan, Sining; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 358-360, 2023. DOI: 10.1109/ISSCC42615.2023.10067457

  20. A Sub-1V 810nW Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from −55°C to 125°C
    Tang, Zhong; Pan, Sining; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 22-24, 2023. DOI: 10.1109/ISSCC42615.2023.10067695

  21. A 40A Shunt-Based Current Sensor with ±0.2% Gain Error from −40°C to 125°C and Self-Calibration
    Tang, Zhong; Toth, Nandor G.; Zamparette, Roger; Nezuka, Tomohiro; Furuta, Yoshikazu; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 348-350, 2023. DOI: 10.1109/ISSCC42615.2023.10067304

  22. A 0.01 mm2 10MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of 0.28% from −45°C to 125°C in 0.18μm CMOS
    An, Xiaomeng; Pan, Sining; Jiang, Hui; Makinwa, Kofi A. A.;
    In 2023 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 60-62, 2023. DOI: 10.1109/ISSCC42615.2023.10067530

  23. A Bias-Flip Rectifier with a Duty-Cycle-Based MPPT Algorithm for Piezoelectric Energy Harvesting with 98% Peak MPPT Efficiency and 738% Energy-Extraction Enhancement
    Yue, X.; Javvaji, S.; Tang, Z.; Makinwa, K. A. A.; Du, S.;
    In 2023 IEEE International Solid- State Circuits Conference (ISSCC),
    pp. 442-444, 2023. DOI: 10.1109/ISSCC42615.2023.10067284

  24. A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer
    Lu, T.; Chang, Z. Y.; Jiang, J.; Makinwa, K.; Du, S.;
    In 2023 IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-2, 2023. DOI: 10.1109/CICC57935.2023.10121186

  25. A -121.5-dB THD Class-D Audio Amplifier With 49-dB LC Filter Nonlinearity Suppression
    Huajun Zhang; Marco Berkhout; Kofi A. A. Makinwa; Qinwen Fan;
    {IEEE} Journal of Solid-State Circuits,
    Volume 57, Issue 4, pp. 1153--1161, April 2022. DOI: 10.1109/jssc.2021.3125526
    document

  26. A 121.4-dB DR Capacitively Coupled Chopper Class-D Audio Amplifier
    Huajun Zhang; Marco Berkhout; Kofi A. A. Makinwa; Qinwen Fan;
    {IEEE} Journal of Solid-State Circuits,
    Volume 57, Issue 12, pp. 3736--3745, December 2022. DOI: 10.1109/jssc.2022.3207907
    document

  27. A -121.5-dB THD Class-D Audio Amplifier With 49-dB LC Filter Nonlinearity Suppression
    Huajun Zhang; Marco Berkhout; Kofi A. A. Makinwa; Qinwen Fan;
    {IEEE} Journal of Solid-State Circuits,
    Volume 57, Issue 4, pp. 1153--1161, April 2022. DOI: 10.1109/jssc.2021.3125526
    document

  28. A MEMS Coriolis-Based Mass-Flow-to-Digital Converter for Low Flow Rate Sensing
    de Oliveira, Arthur Campos; Pan, Sining; Wiegerink, Remco J.; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 12, pp. 3681-3692, 2022. DOI: 10.1109/JSSC.2022.3210003

  29. A −91 dB THD+N, Class-D Piezoelectric Speaker Driver Using Dual Voltage/Current Feedback for Resistor-Less LC Resonance Damping
    Karmakar, Shoubhik; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 12, pp. 3726-3735, 2022. DOI: 10.1109/JSSC.2022.3207386

  30. A 121.4-dB DR Capacitively Coupled Chopper Class-D Audio Amplifier
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 12, pp. 3736-3745, 2022. DOI: 10.1109/JSSC.2022.3207907

  31. A Versatile ±25-A Shunt-Based Current Sensor With ±0.25% Gain Error From −40 °C to 85 °C
    Tang, Zhong; Zamparette, Roger; Furuta, Yoshikazu; Nezuka, Tomohiro; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 12, pp. 3716-3725, 2022. DOI: 10.1109/JSSC.2022.3204520

  32. A 210 nW NPN-Based Temperature Sensor With an Inaccuracy of ±0.15 °C (3σ) From −15 °C to 85 °C Utilizing Dual-Mode Frontend
    Someya, Teruki; van Hoek, Vincent; Angevare, Jan; Pan, Sining; Makinwa, Kofi;
    IEEE Solid-State Circuits Letters,
    Volume 5, pp. 272-275, 2022. DOI: 10.1109/LSSC.2022.3222578

  33. A 2.5-µW Beyond-the-Rails Current Sensor With a Tunable Voltage Reference and ±0.6% Gain Error From −40 °C to +85 °C
    Zamparette, Roger; Makinwa, Kofi;
    IEEE Solid-State Circuits Letters,
    Volume 5, pp. 264-267, 2022. DOI: 10.1109/LSSC.2022.3219214

  34. A 16 MHz CMOS RC Frequency Reference With ±90 ppm Inaccuracy From −45 °C to 85 °C
    Gürleyük, Çağrı; Pan, Sining; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 8, pp. 2429-2437, 2022. DOI: 10.1109/JSSC.2022.3142662

  35. A 0.9-V 28-MHz Highly Digital CMOS Dual-RC Frequency Reference With ±200 ppm Inaccuracy From −40 °C to 85 °C
    Choi, Woojun; Angevare, Jan; Park, Injun; Makinwa, Kofi A. A.; Chae, Youngcheol;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 8, pp. 2418-2428, 2022. DOI: 10.1109/JSSC.2021.3135939

  36. An Auto-Zero-Stabilized Voltage Buffer With a Quiet Chopping Scheme and Constant Sub-pA Input Current
    Rooijers, Thije; Huijsing, Johan H.; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 8, pp. 2438-2448, 2022. DOI: 10.1109/JSSC.2021.3127462

  37. A −121.5-dB THD Class-D Audio Amplifier With 49-dB LC Filter Nonlinearity Suppression
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    IEEE Journal of Solid-State Circuits,
    Volume 57, Issue 4, pp. 1153-1161, 2022. DOI: 10.1109/JSSC.2021.3125526

  38. Analog Circuits for Machine Learning, Current/Voltage/Temperature Sensors, and High-speed Communication: Advances in Analog Circuit Design 2021
    Harpe, Pieter; Makinwa, Kofi AA; Baschirotto, Andrea;
    Springer Nature, , 2022.

  39. Resistor-Based Temperature Sensors
    Pan, Sining; Makinwa, Kofi A. A.;
    Harpe, Pieter; Makinwa, Kofi A.A.; Baschirotto, Andrea (Ed.);
    Cham: Springer International Publishing, , pp. 209--230, 2022. DOI: 10.1007/978-3-030-91741-8_12
    Abstract: ... This paper presents an overview of resistor-based sensors, with a focus on their energy efficiency. First, the theoretical energy efficiency limit of resistor-based sensors is determined and compared to that of traditional BJT-based sensors. This is followed by a review of the different types of resistor-based sensors. Finally, the design of a high-resolution Wheatstone bridge sensor is discussed in detail. Read out by a continuous-time Delta-Sigma modulator, the sensor achieves state-of-the-art energy efficiency, with a resolution FoM of 10 fJ{\textperiodcentered}K2, which approaches the theoretical energy efficiency limit.

  40. A 121.4dB DR, -109.8dB THD+N Capacitively-Coupled Chopper Class-D Audio Amplifier
    Huajun Zhang; Marco Berkhout; Kofi A. A. Makinwa; Qinwen Fan;
    In Fujino, {Laura C. } (Ed.), 2022 IEEE International Solid- State Circuits Conference (ISSCC),
    United States, IEEE, pp. 484--486, 2022. Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this wo. DOI: 10.1109/ISSCC42614.2022.9731737
    Abstract: ... Class-D amplifiers (CDAs) are often used in audio applications due to their superior power efficiency. Due to the sensitivity of the human ear, a large dynamic range (DR) is desired, and audio DACs with up to 130dB DR are commercially available [1]. However, the DR of the CDAs they drive is typically much lower [2]-[4], mainly due to the thermal noise introduced by the input resistors of their resistive feedback networks. Reducing this resistance is difficult, as it reduces the CDA's input impedance and increases the required loop-filter capacitance. Alternatively, the CDA could be configured as a capacitively coupled chopper amplifier (CCCA), whose capacitive feedback network could then achieve low noise without reducing input impedance. However, the large PWM component present at its output would then saturate its input stage. By exploiting the inherent PWM filtering present in a feedback-after-LC architecture, this paper presents a capacitively coupled chopper CDA, resulting in significantly improved DR and THD+N. The prototype achieves 8V_RMS of integrated output noise (A-weighted), a 121.4dB DR, and -1 09.8dB THD+N while delivering a maximum of 15/26W into an 8/4Omega load with 93%/88% efficiency.

  41. A -91 dB THD+N Resistor-Less Class-D Piezoelectric Speaker Driver Using a Dual Voltage/ Current Feedback for LC Resonance Damping
    Karmakar, Shoubhik; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    In 2022 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 1-3, 2022. DOI: 10.1109/ISSCC42614.2022.9731736

  42. A 121.4dB DR, -109.8dB THD+N Capacitively-Coupled Chopper Class-D Audio Amplifier
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    In 2022 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 1-3, 2022. DOI: 10.1109/ISSCC42614.2022.9731737

  43. A MEMS Coriolis-Based Mass-Flow-to-Digital Converter with 100g/h/surdHz Noise i Floor and Zero Stability of pm 0.35mg/h
    De Oliveira, Arthur C.; Pan, Sining; Makinwa, Kofi A. A.;
    In 2022 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 1-3, 2022. DOI: 10.1109/ISSCC42614.2022.9731704

  44. A 210nW BJT-based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from −15°C to 85°C
    Someya, Teruki; Van Hoek, Vincent; Angevare, Jan; Pan, Sining; Makinwa, Kofi;
    In 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
    pp. 120-121, 2022. DOI: 10.1109/VLSITechnologyandCir46769.2022.9830266

  45. A ±25A Versatile Shunt-Based Current Sensor with 10kHz Bandwidth and ±0.25% Gain Error from -40°C to 85°C Using 2-Current Calibration
    Tang, Zhong; Zamparette, Roger; Furuta, Yoshikazu; Nezuka, Tomohiro; Makinwa, Kofi A. A.;
    In 2022 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 66-68, 2022. DOI: 10.1109/ISSCC42614.2022.9731777

  46. A 590 µW, 106.6 dB SNDR, 24 kHz BW Continuous-Time Zoom ADC with a Noise-Shaping 4-bit SAR ADC
    Mehrotra, Shubham; Eland, Efraïm; Karmakar, Shoubhik; Liu, Angqi; Gönen, Burak; Bolatkale, Muhammed; Van Veldhoven, Robert; Makinwa, Kofi A.A.;
    In ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC),
    pp. 253-256, 2022. DOI: 10.1109/ESSCIRC55480.2022.9911295

  47. A Self-Calibrated Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor
    S. Pan; and J. A Angevare; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    July 2021. DOI: 10.1109/JSSC.2021.3094166
    Abstract: ... This article describes a hybrid temperature sensor in which an accurate, but energy-inefficient, thermal diffusivity (TD) sensor is used to calibrate an inaccurate, but efficient, resistor-based sensor. The latter is based on silicided polysilicon resistors embedded in a Wien-bridge (WB) filter, while the former is based on an electrothermal filter (ETF) made from a p-diffusion/metal thermopile and an n-diffusion heater. The use of an on-chip sensor for calibration obviates the need for an external temperature reference and a temperature-stabilized environment, thus reducing the cost. To mitigate the area overhead of the TD sensor, it reuses the WB filter's readout circuitry. Realized in a 180-nm CMOS technology, the hybrid sensor occupies 0.2 mm². After calibration at room temperature (~25 °C) and at an elevated temperature (~85 °C), it achieves an inaccuracy of 0.25 °C (3σ) from -55 °C to 125 °C. The WB sensor dissipates 66 μ W from a 1.8-V supply and achieves a resolution of 450 μ K_rms in a 10-ms conversion time, which corresponds to a resolution figure-of-merit (FoM) of 0.13 pJ·K². The sensor also achieves a sub-10-mHz 1/f noise corner, which is comparable to that of bipolar junction transistor (BJT)-based temperature sensors.

  48. A 200-μW Interface for High-Resolution Eddy-Current Displacement Sensors
    M. Pimenta; Ç. Gürleyük; P. Walsh; D. O’Keeffe; M. Babaie; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, pp. 1036-1045, January 2021. DOI: 10.1109/JSSC.2020.3044027
    Abstract: ... This article presents a low-power eddy-current sensor interface for touch applications. It is based on a bang-bang digital phase-locked loop (DPLL) that converts the displacement of a metal target into digital information. The PLL consists of a digitally controlled oscillator (DCO) built around a sensing coil and a capacitive DAC, a comparator-based bang-bang phase/frequency detector (PFD), and a digital loop filter (DLF). The PLL locks the DCO to a reference frequency, making its digital input a direct representation of the sensing coil inductance. To compensate for the coil inductance tolerances, the DCO’s center frequency can be trimmed by a second capacitive DAC. This approach obviates the need for a reference coil. When combined with a 5-mm-diameter sensing coil located 500 μm from a metal target, the interface achieves a displacement resolution of 6.7 nm (rms) in a 3-kHz bandwidth. It consumes 200 μW from a 1.8-V power supply, which represents the best-reported tradeoff between power consumption, bandwidth, and resolution.

  49. A 440-μW, 109.8-dB DR, 106.5-dB SNDR Discrete-Time Zoom ADC With a 20-kHz BW
    E. Eland; S. Karmakar; B. Gönen; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, pp. 1207-1215, January 2021. DOI: 10.1109/JSSC.2020.3044896
    Abstract: ... This article describes a discrete-time zoom analog-to-digital converter (ADC) intended for audio applications. It uses a coarse 5-bit SAR ADC in tandem with a fine third-order delta–sigma modulator ( ΔΣM ) to efficiently obtain a high dynamic range. To minimize its over-sampling ratio (OSR) and, thus, its digital power consumption, the modulator employs a 2-bit quantizer and a loop filter notch. In addition, an extra feed-forward path minimizes the leakage of the SAR ADC’s quantization noise into the audio band. The prototype ADC occupies 0.27 mm 2 in a 0.16- μm technology. It achieves 109.8-dB DR, 106.5-dB SNDR, and 107.5-dB SNR in a 20-kHz bandwidth while dissipating 440 μW . It also achieves state-of-the-art energy efficiency, as demonstrated by a Schreier FoM of 186.4 dB and an SNDR FoM of 183.6 dB.

  50. A High-Linearity and Low-EMI Multilevel Class-D Amplifier
    Zhang, Huajun; Karmakar, Shoubhik; Breems, Lucien J.; Sandifort, Quino; Berkhout, Marco; Makinwa, Kofi A. A.; Fan, Qinwen;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 4, pp. 1176-1185, 2021. DOI: 10.1109/JSSC.2020.3043815

  51. A High-Linearity and Low-EMI Multilevel Class-D Amplifier
    Huajun Zhang; Shoubhik Karmakar; Lucien J. Breems; Quino Sandifort; Marco Berkhout; Kofi A. A. Makinwa; Qinwen Fan;
    {IEEE} Journal of Solid-State Circuits,
    Volume 56, Issue 4, pp. 1176--1185, April 2021. DOI: 10.1109/jssc.2020.3043815
    document

  52. A Fill-In Technique for Robust IMD Suppression in Chopper Amplifiers
    Rooijers, Thije; Karmakar, Shoubhik; Kusuda, Yoshinori; Huijsing, Johan H.; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 12, pp. 3583-3592, 2021. DOI: 10.1109/JSSC.2021.3107350

  53. A Self-Calibrated Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor
    S. Pan; and J. A Angevare; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 12, pp. 3551-3559, July 2021. DOI: 10.1109/JSSC.2021.3094166
    Abstract: ... This article describes a hybrid temperature sensor in which an accurate, but energy-inefficient, thermal diffusivity (TD) sensor is used to calibrate an inaccurate, but efficient, resistor-based sensor. The latter is based on silicided polysilicon resistors embedded in a Wien-bridge (WB) filter, while the former is based on an electrothermal filter (ETF) made from a p-diffusion/metal thermopile and an n-diffusion heater. The use of an on-chip sensor for calibration obviates the need for an external temperature reference and a temperature-stabilized environment, thus reducing the cost. To mitigate the area overhead of the TD sensor, it reuses the WB filter's readout circuitry. Realized in a 180-nm CMOS technology, the hybrid sensor occupies 0.2 mm². After calibration at room temperature (~25 °C) and at an elevated temperature (~85 °C), it achieves an inaccuracy of 0.25 °C (3σ) from -55 °C to 125 °C. The WB sensor dissipates 66 μ W from a 1.8-V supply and achieves a resolution of 450 μ K_rms in a 10-ms conversion time, which corresponds to a resolution figure-of-merit (FoM) of 0.13 pJ·K². The sensor also achieves a sub-10-mHz 1/f noise corner, which is comparable to that of bipolar junction transistor (BJT)-based temperature sensors.

  54. A 200-μW Interface for High-Resolution Eddy-Current Displacement Sensors
    M. Pimenta; Ç. Gürleyük; P. Walsh; D. O’Keeffe; M. Babaie; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 4, pp. 1036-1045, January 2021. DOI: 10.1109/JSSC.2020.3044027
    Abstract: ... This article presents a low-power eddy-current sensor interface for touch applications. It is based on a bang-bang digital phase-locked loop (DPLL) that converts the displacement of a metal target into digital information. The PLL consists of a digitally controlled oscillator (DCO) built around a sensing coil and a capacitive DAC, a comparator-based bang-bang phase/frequency detector (PFD), and a digital loop filter (DLF). The PLL locks the DCO to a reference frequency, making its digital input a direct representation of the sensing coil inductance. To compensate for the coil inductance tolerances, the DCO’s center frequency can be trimmed by a second capacitive DAC. This approach obviates the need for a reference coil. When combined with a 5-mm-diameter sensing coil located 500 μm from a metal target, the interface achieves a displacement resolution of 6.7 nm (rms) in a 3-kHz bandwidth. It consumes 200 μW from a 1.8-V power supply, which represents the best-reported tradeoff between power consumption, bandwidth, and resolution.

  55. A 440-μW, 109.8-dB DR, 106.5-dB SNDR Discrete-Time Zoom ADC With a 20-kHz BW
    E. Eland; S. Karmakar; B. Gönen; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 4, pp. 1207-1215, January 2021. DOI: 10.1109/JSSC.2020.3044896
    Abstract: ... This article describes a discrete-time zoom analog-to-digital converter (ADC) intended for audio applications. It uses a coarse 5-bit SAR ADC in tandem with a fine third-order delta–sigma modulator ( ΔΣM ) to efficiently obtain a high dynamic range. To minimize its over-sampling ratio (OSR) and, thus, its digital power consumption, the modulator employs a 2-bit quantizer and a loop filter notch. In addition, an extra feed-forward path minimizes the leakage of the SAR ADC’s quantization noise into the audio band. The prototype ADC occupies 0.27 mm 2 in a 0.16- μm technology. It achieves 109.8-dB DR, 106.5-dB SNDR, and 107.5-dB SNR in a 20-kHz bandwidth while dissipating 440 μW . It also achieves state-of-the-art energy efficiency, as demonstrated by a Schreier FoM of 186.4 dB and an SNDR FoM of 183.6 dB.

  56. A Low-Field Portable Nuclear Magnetic Resonance (NMR) Microfluidic Flowmeter
    E. Aydin; K.A.A. Makinwa;
    In Proc. IEEE Transducers,
    August 2021. DOI: 10.1109/Transducers50396.2021.9495479

  57. A ±2A/15A Current Sensor with 1.4 μA Supply Current and ±0.35%/0.6% Gain Error From −40 to 85°C using an Analog Temperature-Compensation Scheme
    R. Zamparette; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    June 2021. DOI: 10.23919/VLSICircuits52068.2021.9492361

  58. A Chopper-Stabilized Amplifier with -107dB IMD and 28dB Suppression of Chopper-Induced IMD
    T. Rooijers; S. Karmakar; Y. Kusuda; J. H. Huijsing; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365790

  59. A Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor with a Self-Calibrated Inaccuracy of ±0.25° C (3σ) from -55°C to 125°C
    S. Pan; and J. A Angevare; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9366032

  60. A MEMS Coriolis Mass Flow Sensor with 300 μ g/h/√Hz Resolution and ± 0.8mg/h Zero Stability
    A. C. de Oliveira; J. Groenesteijn; R. J. Wiegerink; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365946

  61. A 0.9V 28MHz Dual-RC Frequency Reference with 5pJ/Cycle and ±200 ppm Inaccuracy from -40°C to 85°C
    W. Choi; J. A. Angevare; I. Park; K. A. A. Makinwa; Y. Chae;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9366021

  62. A Highly Digital 2210μm2 Resistor-Based Temperature Sensor with a 1-Point Trimmed Inaccuracy of ± 1.3 ° C (3 σ) from -55 ° C to 125 ° C in 65nm CMOS
    J. A. Angevare; Y. Chae; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365995

  63. A 0.14mm2 16MHz CMOS RC Frequency Reference with a 1-Point Trimmed Inaccuracy of ±400ppm from -45°C to 85°C
    H. Jiang; S. Pan; Ç. Gürleyük; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365795

  64. A 25A Hybrid Magnetic Current Sensor with 64mA Resolution, 1.8MHz Bandwidth, and a Gain Drift Compensation Scheme
    A. Jouyaeian; Qinwen Fan; M. Motz; U. Ausserlechner; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    February 2021. DOI: 10.1109/ISSCC42613.2021.9365767

  65. A −121.5 dB THD Class-D Audio Amplifier with 49 dB Suppression of LC Filter Nonlinearity and Robust to +/−30% LC Filter Spread
    H. Zhang; M. Berkhout; K. Makinwa; Qinwen Fan;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    June 2021. DOI: 10.23919/VLSICircuits52068.2021.9492441

  66. A-121.5 dB THD Class-D Audio Amplifier with 49 dB Suppression of LC Filter Nonlinearity and Robust to +/-30% LC Filter Spread
    Huajun Zhang; Marco Berkhout; Kofi Makinwa; Qinwen Fan;
    In 2021 Symposium on VLSI Circuits,
    United States, IEEE, 2021. Green Open Access added to TU Delft Institutional Repository {\textquoteleft}You share, we take care!{\textquoteright} – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher i. DOI: 10.23919/VLSICircuits52068.2021.9492441
    Abstract: ... This paper reports a Class-D audio amplifier that uses multiloop feedback to suppress output LC filter nonlinearity by 49 dB, enabling the use of small, low-cost LC filters with ±30% spread while maintaining low distortion. Fabricated in a 180 nm BCD process, the prototype achieves a THD of-121.5 dB and a THD+N of-107.1 dB. It delivers 12W/21W into an 8-Ω/4-Ω load with 91%/87% efficiency.

  67. A −121.5 dB THD Class-D Audio Amplifier with 49 dB Suppression of LC Filter Nonlinearity and Robust to +/−30% LC Filter Spread
    Zhang, Huajun; Berkhout, Marco; Makinwa, Kofi; Fan, Qinwen;
    In 2021 Symposium on VLSI Circuits,
    pp. 1-2, 2021. DOI: 10.23919/VLSICircuits52068.2021.9492441

  68. A 25A Hybrid Magnetic Current Sensor with 64mA Resolution, 1.8MHz Bandwidth, and a Gain Drift Compensation Scheme
    Jouyaeian, Amirhossein; Fan, Qinwen; Motz, Mario; Ausserlechner, Udo; Makinwa, Kofi A. A.;
    In 2021 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 82-84, 2021. DOI: 10.1109/ISSCC42613.2021.9365767

  69. A ±2A/15A Current Sensor with 1.4 μA Supply Current and ±0.35%/0.6% Gain Error From −40 to 85°C using an Analog Temperature-Compensation Scheme
    R. Zamparette; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. 1-2, June 2021. DOI: 10.23919/VLSICircuits52068.2021.9492361

  70. A Low-Field Portable Nuclear Magnetic Resonance (NMR) Microfluidic Flowmeter
    E. Aydin; K.A.A. Makinwa;
    In Proc. IEEE Transducers,
    pp. 1020-1023, August 2021. DOI: 10.1109/Transducers50396.2021.9495479

  71. A 0.14mm2 16MHz CMOS RC Frequency Reference with a 1-Point Trimmed Inaccuracy of ±400ppm from -45°C to 85°C
    H. Jiang; S. Pan; Ç. Gürleyük; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 436-438, February 2021. DOI: 10.1109/ISSCC42613.2021.9365795

  72. A Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor with a Self-Calibrated Inaccuracy of ±0.25° C (3σ) from -55°C to 125°C
    S. Pan; and J. A Angevare; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 78-80, February 2021. DOI: 10.1109/ISSCC42613.2021.9366032

  73. A Chopper-Stabilized Amplifier with -107dB IMD and 28dB Suppression of Chopper-Induced IMD
    T. Rooijers; S. Karmakar; Y. Kusuda; J. H. Huijsing; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 438-440, February 2021. DOI: 10.1109/ISSCC42613.2021.9365790

  74. A MEMS Coriolis Mass Flow Sensor with 300 μ g/h/√Hz Resolution and ± 0.8mg/h Zero Stability
    A. C. de Oliveira; J. Groenesteijn; R. J. Wiegerink; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 84-86, February 2021. DOI: 10.1109/ISSCC42613.2021.9365946

  75. A Highly Digital 2210μm2 Resistor-Based Temperature Sensor with a 1-Point Trimmed Inaccuracy of ± 1.3 ° C (3 σ) from -55 ° C to 125 ° C in 65nm CMOS
    J. A. Angevare; Y. Chae; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 76-78, February 2021. DOI: 10.1109/ISSCC42613.2021.9365995

  76. A 0.9V 28MHz Dual-RC Frequency Reference with 5pJ/Cycle and ±200 ppm Inaccuracy from -40°C to 85°C
    W. Choi; J. A. Angevare; I. Park; K. A. A. Makinwa; Y. Chae;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 434-436, February 2021. DOI: 10.1109/ISSCC42613.2021.9366021

  77. A 6.6-μW Wheatstone-Bridge Temperature Sensor for Biomedical Applications
    S. Pan; K. A. A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 3, pp. 334-337, August 2020. DOI: 10.1109/LSSC.2020.3019078
    Abstract: ... This letter presents a compact, energy-efficient, and low-power Wheatstone-bridge temperature sensor for biomedical applications. To maximize sensitivity and reduce power dissipation, the sensor employs a high-resistance (600 kΩ) bridge that consists of resistors with positive (silicided-poly) and negative (n-poly) temperature coefficients. Resistor spread is then mitigated by trimming the n -poly arms with a 12-bit DAC, which consists of a 5-bit series DAC whose LSB is trimmed by a 7-bit PWM generator. The bridge is readout by a second-order delta–sigma modulator, which dynamically balances the bridge by tuning the resistance of the silicided-poly arms via a 1-bit series DAC. As a result, the modulator’s bitstream average is an accurate and near-linear function of temperature, which does not require further correction in the digital domain. Fabricated in a 180-nm CMOS technology, the sensor occupies 0.12mm2 . After a 1-point trim, it achieves +0.2 °C/−0.1 °C (3σ) inaccuracy in a ±10 °C range around body temperature (37.5 °C). It consumes 6.6 μW from a 1.6-V supply, and achieves 200-μK resolution in a 40-ms conversion time, which corresponds to a state-of-the-art resolution FoM of 11 fJ⋅K2 . Duty cycling the sensor results in even lower average power: 700nW at 10 conversions/s.

  78. A 10 fJ·K² Wheatstone Bridge Temperature Sensor With a Tail-Resistor-Linearized OTA
    S. Pan; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 501-510, September 2020. DOI: 10.1109/JSSC.2020.3018164
    Abstract: ... This article describes a highly energy-efficient Wheatstone bridge temperature sensor. To maximize sensitivity, the bridge is made from resistors with positive (silicided diffusion) and negative (poly) temperature coefficients. The bridge is balanced by a resistive (poly) FIR-DAC, which is part of a 2nd-order continuous-time delta-sigma modulator (CTΔ ΣM). Each stage of the modulator is based on an energy-efficient current-reuse OTA. To efficiently suppress quantization noise foldback, the 1st stage OTA employs a tail-resistor linearization scheme. Sensor accuracy is enhanced by realizing the poly arms of the bridge and the DAC from identical unit elements. Fabricated in a 180-nm CMOS technology, the sensor draws 55 μW from a 1.8-V supply and achieves a resolution of 150 μK_rms in an 8-ms conversion time. This translates into a state-of-the-art resolution figure-of-merit (FoM) of 10 fJ·K². Furthermore, the sensor achieves an inaccuracy of ±0.4 °C (3σ) from -55 °C to 125 °C after a ratio-based one-point trim and systematic non-linearity removal, which improves to ±0.1 °C (3σ) after a 1st-order fit.

  79. A High-Linearity and Low-EMI Multilevel Class-D Amplifier
    H. Zhang; S. Karmakar; L. J. Breems; Q. Sandifort; M. Berkhout; K. A. A. Makinwa; Qinwen Fan;
    IEEE Journal of Solid-State Circuits,
    Volume 56, pp. 1176-1185, December 2020. DOI: 10.1109/JSSC.2020.3043815
    Abstract: ... This article presents a Class-D audio amplifier for automotive applications. Low electromagnetic interference (EMI) and, hence, smaller LC filter size are obtained by employing a fully differential multilevel output stage switching at 4.2 MHz. A modulation scheme with minimal switching activity at zero input reduces idle power, which is further assisted by a gate-charge reuse scheme. It also achieves high linearity due to the high loop gain realized by a third-order feedback loop with a bandwidth of 800 kHz. The prototype, fabricated in a 180-nm high-voltage BCD process, achieves a minimum THD+N of −107.8 dB/−102 dB and a peak efficiency of 91%/87% with 8- and 4- Ω loads, respectively, while drawing 7-mA quiescent current from a 14.4-V supply. The prototype meets the CISPR 25 Class 5 EMI standard with a 5.7-dB margin using an LC filter with a cutoff frequency of 580 kHz.

  80. A 28-W, -102.2-dB THD+N Class-D Amplifier Using a Hybrid Δ Σ M-PWM Scheme
    S. Karmakar; H. Zhang; R. van Veldhoven; L. J. Breems; M. Berkhout; Qinwen Fan; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    September 2020. DOI: 10.1109/JSSC.2020.3023874
    Abstract: ... This article presents a 28-W class-D amplifier for automotive applications. The combination of a high switching frequency and a hybrid multibit Δ ΣM-PWM scheme results in high linearity over a wide range of output power, as well as low AM-band EMI. As a result, only a small (150-kHz cutoff frequency), and thus low-cost, LC filter is needed to meet the CISPR-25 EMI average limit (150 kHz-30 MHz) with 10-dB margin. At 28-W output power, the proposed amplifier achieves 91% efficiency while driving a 4-Ω load from a 14.4-V supply. It attains a peak THD+N of 0.00077% (-102.2 dB) for a 1-kHz input signal.

  81. A 28-W, -102.2-dB THD$\mathplus$N Class-D Amplifier Using a Hybrid $\upDelta$$\upSigma$M-PWM Scheme
    Shoubhik Karmakar; Huajun Zhang; Robert van Veldhoven; Lucien J. Breems; Marco Berkhout; Qinwen Fan; Kofi A. A. Makinwa;
    {IEEE} Journal of Solid-State Circuits,
    Volume 55, Issue 12, pp. 3146--3156, December 2020. DOI: 10.1109/jssc.2020.3023874
    document

  82. A 28-W, −102.2-dB THD+N Class-D Amplifier Using a Hybrid ΔΣM-PWM Scheme
    Karmakar, Shoubhik; Zhang, Huajun; van Veldhoven, Robert; Breems, Lucien J.; Berkhout, Marco; Fan, Qinwen; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 55, Issue 12, pp. 3146-3156, 2020. DOI: 10.1109/JSSC.2020.3023874

  83. A BJT-Based Temperature-to-Digital Converter With a ±0.25 °C 3 $\sigma$ -Inaccuracy From −40 °C to +180 °C Using Heater-Assisted Voltage Calibration
    Yousefzadeh, Bahman; Makinwa, Kofi A. A.;
    IEEE Journal of Solid-State Circuits,
    Volume 55, Issue 2, pp. 369-377, 2020. DOI: 10.1109/JSSC.2019.2953834

  84. A 10 fJ·K² Wheatstone Bridge Temperature Sensor With a Tail-Resistor-Linearized OTA
    S. Pan; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 56, Issue 501-510, pp. 501-510, September 2020. DOI: 10.1109/JSSC.2020.3018164
    Abstract: ... This article describes a highly energy-efficient Wheatstone bridge temperature sensor. To maximize sensitivity, the bridge is made from resistors with positive (silicided diffusion) and negative (poly) temperature coefficients. The bridge is balanced by a resistive (poly) FIR-DAC, which is part of a 2nd-order continuous-time delta-sigma modulator (CTΔ ΣM). Each stage of the modulator is based on an energy-efficient current-reuse OTA. To efficiently suppress quantization noise foldback, the 1st stage OTA employs a tail-resistor linearization scheme. Sensor accuracy is enhanced by realizing the poly arms of the bridge and the DAC from identical unit elements. Fabricated in a 180-nm CMOS technology, the sensor draws 55 μW from a 1.8-V supply and achieves a resolution of 150 μK_rms in an 8-ms conversion time. This translates into a state-of-the-art resolution figure-of-merit (FoM) of 10 fJ·K². Furthermore, the sensor achieves an inaccuracy of ±0.4 °C (3σ) from -55 °C to 125 °C after a ratio-based one-point trim and systematic non-linearity removal, which improves to ±0.1 °C (3σ) after a 1st-order fit.

  85. A 6.6-μW Wheatstone-Bridge Temperature Sensor for Biomedical Applications
    S. Pan; K. A. A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 3, pp. 334-337, August 2020. DOI: 10.1109/LSSC.2020.3019078
    Abstract: ... This letter presents a compact, energy-efficient, and low-power Wheatstone-bridge temperature sensor for biomedical applications. To maximize sensitivity and reduce power dissipation, the sensor employs a high-resistance (600 kΩ) bridge that consists of resistors with positive (silicided-poly) and negative (n-poly) temperature coefficients. Resistor spread is then mitigated by trimming the n -poly arms with a 12-bit DAC, which consists of a 5-bit series DAC whose LSB is trimmed by a 7-bit PWM generator. The bridge is readout by a second-order delta–sigma modulator, which dynamically balances the bridge by tuning the resistance of the silicided-poly arms via a 1-bit series DAC. As a result, the modulator’s bitstream average is an accurate and near-linear function of temperature, which does not require further correction in the digital domain. Fabricated in a 180-nm CMOS technology, the sensor occupies 0.12mm2 . After a 1-point trim, it achieves +0.2 °C/−0.1 °C (3σ) inaccuracy in a ±10 °C range around body temperature (37.5 °C). It consumes 6.6 μW from a 1.6-V supply, and achieves 200-μK resolution in a 40-ms conversion time, which corresponds to a state-of-the-art resolution FoM of 11 fJ⋅K2 . Duty cycling the sensor results in even lower average power: 700nW at 10 conversions/s.

  86. Next-Generation ADCs, High-Performance Power Management, and Technology Considerations for Advanced Integrated Circuits: Advances in Analog Circuit Design 2019
    Baschirotto, Andrea; Harpe, Pieter; Makinwa, Kofi AA;
    Springer, , 2020.

  87. A CMOS Resistor-Based Temperature Sensor with a 10fJ· K2 Resolution FoM and 0.4° C (3σ) Inaccuracy From− 55°C to 125°C After a 1-point Trim
    S. Pan; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 68-70, 2 2020. DOI: 10.1109/ISSCC19947.2020.9063064

  88. A 16MHz CMOS RC Frequency Reference with±400ppm Inaccuracy from− 45° C to 85° C After Digital Linear Temperature Compensation
    Ç. Gürleyük; S. Pan; K. A. A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 64-66, 2 2020. DOI: 10.1109/ISSCC19947.2020.9063029

  89. A 440μW, 109.8dB DR, 106.5dB SNDR Discrete-Time Zoom ADC with a 20kHz BW
    E. Eland; S. Karmakar; B. Gönen; R. van Veldhoven; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    June 2020. DOI: 10.1109/VLSICircuits18222.2020.9162856.

  90. A 200μW Eddy Current Displacement Sensor with 6.7nmRMS Resolution
    M. Pimenta; Ç. Gürleyük; P. Walsh; D. O'Keeffe; M. Babaie; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    June 2020. DOI: 10.1109/VLSICircuits18222.2020.9162849

  91. A 200μW Eddy Current Displacement Sensor with 6.7nmRMS Resolution
    Pimenta, Matheus; Gürleyük, Çağri; Walsh, Paul; O'Keeffe, Daniel; Babaie, Masoud; Makinwa, Kofi;
    In 2020 IEEE Symposium on VLSI Circuits,
    pp. 1-2, 2020. DOI: 10.1109/VLSICircuits18222.2020.9162849

  92. A 28W -108.9dB/-102.2dB THD/THD+N Hybrid ΔΣ−PWM Class-D Audio Amplifier with 91% Peak Efficiency and Reduced EMI Emission
    S. Karmakar; H. Zhang; R.Van Veldhoven; L. Breems; M. Berkhout; Qinwen Fan; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 350-352, 2 2020. DOI: 10.1109/ISSCC19947.2020.9063001

  93. A −107.8 dB THD+N Low-EMI Multi-Level Class-D Audio Amplifier
    H. Zhang; S. Karmakar; L. Breems; Q. Sandifort; M. Berkhout; K. Makinwa; Qinwen Fan;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    June 2020. DOI: 10.1109/VLSICircuits18222.2020.9162793

  94. A -107.8 dB THD+N Low-EMI Multi-Level Class-D Audio Amplifier
    Huajun Zhang; Shoubhik Karmakar; Lucien Breems; Quino Sandifort; Marco Berkhout; Kofi Makinwa; Qinwen Fan;
    In 2020 IEEE Symposium on VLSI Circuits,
    United States, IEEE, pp. 1--2, 2020. Green Open Access added to TU Delft Institutional Repository {\textquoteleft}You share, we take care!{\textquoteright} – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher i. DOI: 10.1109/VLSICircuits18222.2020.9162793
    Abstract: ... This paper describes a class-D audio amplifier with a multilevel output stage that reduces both EMI and idle power. High loop gain, and thus high linearity, are enabled by a relatively high (4.2 MHz) switching frequency, which relaxes the requirements on its output LC filter. Fabricated in a 180nm BCD technology, it can drive 14 W into an 8-Ω load with state-of-the-art performance: -107.8 dB THD+N, 91% peak efficiency, and 7 mA quiescent current. It meets the CISPR 25 Class 5 radiated emission standard with a low-cost 580 kHz LC filter, improving the state-of-the-art by 5.8x.

  95. A 28W -108.9dB/-102.2dB THD/THD+N Hybrid ΔΣ-PWM Class-D Audio Amplifier with 91% Peak Efficiency and Reduced EMI Emission
    Shoubhik Karmakar; Huajun Zhang; {Van Veldhoven}, Robert; Lucien Breems; Marco Berkhout; Qinwen Fan; Kofi A. A. Makinwa;
    In 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020,
    United States, IEEE, pp. 350--352, 2020. Green Open Access added to TU Delft Institutional Repository {\textquoteleft}You share, we take care!{\textquoteright} – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher i. DOI: 10.1109/ISSCC19947.2020.9063001
    Abstract: ... Class-D amplifiers are often used in high-power audio applications due to their high power efficiency. They typically employ pulse-width modulation (PWM) at a fixed carrier frequency, which may cause electromagnetic interference (EMI). Setting this frequency fPWM) below the AM band (535 to 1605kHz) helps mitigate this, but its harmonics still contain substantial energy and must be filtered out by bulky LC filters with low cut-off frequencies (fc = 20 to 40 kHz), significantly increasing system cost and size. Stability considerations also constrain the amplifier's unity-gain frequency to be < mathrm{f} {mathrm{PWM}}/pi [1], compromising the audio-band loop gain required to suppress output-stage nonlinearity. Setting fPWM above the AM band helps increase fc and allows a higher loop gain [2]. However, this results in narrower pulses at higher power levels (higher modulation index), which cannot be faithfully produced by the output stage, thus exacerbating its non-linearity. Delta-sigma modulation (DeltaSigma M) has fixed pulse widths and does not suffer from these narrow-pulse artefacts. However, the out-of-band noise of 1bit modulators then requires larger LC filters. Moreover, high-order loop filters must be used to achieve sufficient SQNR, which then require additional techniques to maintain stability as the modulation range approaches 100% [3].

  96. A 440μW, 109.8dB DR, 106.5dB SNDR Discrete-Time Zoom ADC with a 20kHz BW
    Eland, Efraïm; Karmakar, Shoubhik; Gönen, Burak; van Veldhoven, Robert; Makinwa, Kofi;
    In 2020 IEEE Symposium on VLSI Circuits,
    pp. 1-2, 2020. DOI: 10.1109/VLSICircuits18222.2020.9162856

  97. A −107.8 dB THD+N Low-EMI Multi-Level Class-D Audio Amplifier
    Zhang, Huajun; Karmakar, Shoubhik; Breems, Lucien; Sandifort, Quino; Berkhout, Marco; Makinwa, Kofi; Fan, Qinwen;
    In 2020 IEEE Symposium on VLSI Circuits,
    pp. 1-2, 2020. DOI: 10.1109/VLSICircuits18222.2020.9162793

  98. A 28W -108.9dB/-102.2dB THD/THD+N Hybrid $\Delta\Sigma-$-PWM Class-D Audio Amplifier with 91% Peak Efficiency and Reduced EMI Emission
    Karmakar, Shoubhik; Zhang, Huajun; Van Veldhoven, Robert; Breems, Lucien; Berkhout, Marco; Fan, Qinwen; Makinwa, Kofi A.A.;
    In 2020 IEEE International Solid-State Circuits Conference - (ISSCC),
    pp. 350-352, 2020. DOI: 10.1109/ISSCC19947.2020.9063001

  99. A 200μW Eddy Current Displacement Sensor with 6.7nmRMS Resolution
    M. Pimenta; Ç. Gürleyük; P. Walsh; D. O'Keeffe; M. Babaie; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. 1-2, June 2020. DOI: 10.1109/VLSICircuits18222.2020.9162849

  100. A 16MHz CMOS RC Frequency Reference with±400ppm Inaccuracy from− 45° C to 85° C After Digital Linear Temperature Compensation
    Ç. Gürleyük; S. Pan; K. A. A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 64-66, 2 2020. DOI: 10.1109/ISSCC19947.2020.9063029

  101. A CMOS Resistor-Based Temperature Sensor with a 10fJ· K2 Resolution FoM and 0.4° C (3σ) Inaccuracy From− 55°C to 125°C After a 1-point Trim
    S. Pan; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 68-70, 2 2020. DOI: 10.1109/ISSCC19947.2020.9063064

  102. Heater-Assisted Bandgap trimming of BJT-based Temperature-to-Digital converters
    B. Yousefzadeh; K. Souri; K.A.A. Makinwa;
    Patent, 10605676, 2020.

  103. High performance inductive sensing all digital phase locked loop
    P. M Walsh; D. MacSweeney; D. O'keeffe; K. Makinwa; M. Pimenta; D. R Seguine; Ç. Gürleyük;
    Patent, 16721222, November 2020.

  104. An Energy-Efficient 3.7nV/√Hz Bridge-Readout IC with a Stable Bridge Offset Compensation Scheme
    H. Jiang; S. Nihtianov; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, pp. 856-864, 3 2019. DOI: 10.1109/JSSC.2018.2885556
    Abstract: ... This paper describes an energy-efficient bridge readout IC (ROIC), which consists of a capacitively coupled instrumentation amplifier (CCIA) that drives a continuous-time delta-sigma modulator (CTΔΣM). By exploiting the CCIA's ability to block dc common-mode voltages, the bridge's bias voltage may exceed the ROIC's supply voltage, allowing these voltages to be independently optimized. Since bridge output is typically much smaller than bridge offset, a digital to analog converter (DAC) is used to compensate this offset before amplification and thus increase the CCIA's useful dynamic range. Bridge loading is reduced by using a dual-path positive feedback scheme to boost the CCIA's input impedance. Furthermore, the CCIA's output is gated to avoid digitizing its output spikes, which would otherwise limit the ROIC's linearity and stability. The ROIC achieves an input-referred noise density of 3.7 nV/√Hz, a noise efficiency factor (NEF) of 5, and a power efficiency factor (PEF) of 44, which both represent the state of the art. A pressure sensing system, built with the ROIC and a differential pressure sensor (AC4010), achieves 10.1-mPa (1ιι) resolution in a 0.5-ms conversion time. The ROIC dissipates about 30% of the system's power dissipation and contributes about 6% of its noise power. To reduce the sensor's offset drift, a temperature compensation scheme based on an external reference resistor is used. After a two-point calibration, this scheme reduces bridge offset drift by 80× over a 50 °C range.

  105. A 15nW per Button Interference-Immune Readout IC for Capacitive Touch Sensors
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, pp. 1874-1882, 7 2019. DOI: 10.1109/JSSC.2019.2907041
    Abstract: ... This paper presents a readout IC that uses an asynchronous capacitance-to-digital-converter (CDC) to digitize the capacitance of a touch sensor. A power-efficient tracking algorithm ensures that the CDC consumes negligible power consumption in the absence of touch events. To facilitate its use in wake-on-touch applications, the CDC can be periodically triggered by a co-integrated ultra-low-power relaxation oscillator. At a 38-Hz scan rate, the readout IC consumes 15 nW per touch sensor, which is the lowest reported to date.

  106. A 6800‐μm² Resistor‐Based Temperature Sensor in 180‐nm CMOS
    J. Angevare; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, pp. 2649-2657, 10 2019. DOI: 10.1109/JSSC.2019.2921450
    Abstract: ... This paper describes a compact resistor-based temperature sensor that has been realized in a 180-nm CMOS process. It occupies only 6800 μm 2 , thanks to the use of a highly digital voltage-controlled oscillator (VCO)-based phase-domain sigma-delta modulator, whose loop filter consists of a compact digital counter. Despite its small size, the sensor achieves ±0.35 °C (3σ) inaccuracy from -35 °C to 125 °C. Furthermore, it achieves 0.12 °C (1σ) resolution at 2.8 kSa/s, which is mainly limited by the time-domain quantization imposed by the counter.

  107. A 5800 μm2 Resistor-based Temperature Sensor with a one-point Trimmed 3σ Inaccuracy of ±1.1 °C from −50 to 105 °C in 65 nm CMOS
    Y-T Lee; W. Choi; T. Kim; S. Song; K. Makinwa; Y. Chae;
    IEEE Solid-State Circuits Letters,
    Volume 2, pp. 67-70, 10 2019. DOI: 10.1109/LSSC.2019.2937441
    Abstract: ... This letter describes a compact resistor-based temperature sensor intended for the thermal monitoring of microprocessors and DRAMs. It consists of an RC poly phase filter (PPF) that is read out by a frequency-locked loop (FLL) based on a dual zero-crossing (ZC) detection scheme. The sensor, fabricated in 65-nm CMOS, occupies 5800 μm 2 and achieves moderate accuracy [±1.2 °C (3σ)] over a wide temperature range (-50 °C to 105 °C) after a one-point trim. This is 2x better than the previous compact resistor-based sensors. Operating from 0.85 to 1.3-V supplies, it consumes 32.5-μA and achieves 2.8-mK resolution in a 1-ms conversion time, which corresponds to a resolution FoM of 0.26 pJ·K 2.

  108. A Low Power Continuous-Time Zoom ADC for Audio Applications
    B. Gönen; S. Karmakar; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 55, pp. 1023-1031, 12 2019. DOI: 10.1109/JSSC.2019.2959480
    Abstract: ... This article presents a continuous-time zoom analog to digital converter (ADC) for audio applications. It employs a high-speed asynchronous SAR ADC that dynamically updates the references of a continuous-time delta-sigma modulator (CTDSM). Compared to previous switched-capacitor (SC) zoom ADCs, its input impedance is essentially resistive, which relaxes the power dissipation of its reference and input buffers. Fabricated in a 160-nm CMOS process, the ADC occupies 0.27 mm 2 and achieves 108.1-dB peak SNR, 106.4-dB peak signal to noise and distortion ratio (SNDR), and 108.5-dB dynamic range in a 20-kHz bandwidth while consuming 618 μW. This results in a Schreier figure of merit (FoM) of 183.6 dB.

  109. A Low Power Continuous-Time Zoom ADC for Audio Applications
    B. Gönen; S. Karmakar; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 55, Issue 4, pp. 1023-1031, 12 2019. DOI: 10.1109/JSSC.2019.2959480
    Abstract: ... This article presents a continuous-time zoom analog to digital converter (ADC) for audio applications. It employs a high-speed asynchronous SAR ADC that dynamically updates the references of a continuous-time delta-sigma modulator (CTDSM). Compared to previous switched-capacitor (SC) zoom ADCs, its input impedance is essentially resistive, which relaxes the power dissipation of its reference and input buffers. Fabricated in a 160-nm CMOS process, the ADC occupies 0.27 mm 2 and achieves 108.1-dB peak SNR, 106.4-dB peak signal to noise and distortion ratio (SNDR), and 108.5-dB dynamic range in a 20-kHz bandwidth while consuming 618 μW. This results in a Schreier figure of merit (FoM) of 183.6 dB.

  110. A 6800‐μm² Resistor‐Based Temperature Sensor in 180‐nm CMOS
    J. Angevare; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, Issue 10, pp. 2649-2657, 10 2019. DOI: 10.1109/JSSC.2019.2921450
    Abstract: ... This paper describes a compact resistor-based temperature sensor that has been realized in a 180-nm CMOS process. It occupies only 6800 μm 2 , thanks to the use of a highly digital voltage-controlled oscillator (VCO)-based phase-domain sigma-delta modulator, whose loop filter consists of a compact digital counter. Despite its small size, the sensor achieves ±0.35 °C (3σ) inaccuracy from -35 °C to 125 °C. Furthermore, it achieves 0.12 °C (1σ) resolution at 2.8 kSa/s, which is mainly limited by the time-domain quantization imposed by the counter.

  111. A 15nW per Button Interference-Immune Readout IC for Capacitive Touch Sensors
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 54, Issue 7, pp. 1874-1882, 7 2019. DOI: 10.1109/JSSC.2019.2907041
    Abstract: ... This paper presents a readout IC that uses an asynchronous capacitance-to-digital-converter (CDC) to digitize the capacitance of a touch sensor. A power-efficient tracking algorithm ensures that the CDC consumes negligible power consumption in the absence of touch events. To facilitate its use in wake-on-touch applications, the CDC can be periodically triggered by a co-integrated ultra-low-power relaxation oscillator. At a 38-Hz scan rate, the readout IC consumes 15 nW per touch sensor, which is the lowest reported to date.

  112. An Energy-Efficient 3.7nV/√Hz Bridge-Readout IC with a Stable Bridge Offset Compensation Scheme
    H. Jiang; S. Nihtianov; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 3, pp. 856-864, 3 2019. DOI: 10.1109/JSSC.2018.2885556
    Abstract: ... This paper describes an energy-efficient bridge readout IC (ROIC), which consists of a capacitively coupled instrumentation amplifier (CCIA) that drives a continuous-time delta-sigma modulator (CTΔΣM). By exploiting the CCIA's ability to block dc common-mode voltages, the bridge's bias voltage may exceed the ROIC's supply voltage, allowing these voltages to be independently optimized. Since bridge output is typically much smaller than bridge offset, a digital to analog converter (DAC) is used to compensate this offset before amplification and thus increase the CCIA's useful dynamic range. Bridge loading is reduced by using a dual-path positive feedback scheme to boost the CCIA's input impedance. Furthermore, the CCIA's output is gated to avoid digitizing its output spikes, which would otherwise limit the ROIC's linearity and stability. The ROIC achieves an input-referred noise density of 3.7 nV/√Hz, a noise efficiency factor (NEF) of 5, and a power efficiency factor (PEF) of 44, which both represent the state of the art. A pressure sensing system, built with the ROIC and a differential pressure sensor (AC4010), achieves 10.1-mPa (1ιι) resolution in a 0.5-ms conversion time. The ROIC dissipates about 30% of the system's power dissipation and contributes about 6% of its noise power. To reduce the sensor's offset drift, a temperature compensation scheme based on an external reference resistor is used. After a two-point calibration, this scheme reduces bridge offset drift by 80× over a 50 °C range.

  113. Low-Power Analog Techniques, Sensors for Mobile Devices, and Energy Efficient Amplifiers
    K.A.A. Makinwa; A. Baschirotto; P. Harpe;
    Springer, , 2019.

  114. CMOS-Compatible Carbon Dioxide Sensors
    Cai, Zeyu; van Veldhoven, Robert; Suy, Hilco; de Graaf, Ger; Makinwa, Kofi A. A.; Pertijs, Michiel;
    Makinwa, Kofi A. A.; Baschirotto, Andrea; Harpe, Pieter (Ed.);
    Cham: Springer International Publishing, , pp. 199--219, 2019. DOI: 10.1007/978-3-319-97870-3_11
    Abstract: ... This chapter presents two cost-effective sensors that measure ambient carbon dioxide (CO2) concentration, intended for application in smart ventilation systems in buildings or in mobile devices. Both sensors employ a suspended hot-wire transducer to detect the CO2-dependent thermal conductivity (TC) of the ambient air. The resistive transducer is realized in the VIA layer of a standard CMOS process using a single etch step. The first sensor determines the transducer's CO2-dependent thermal resistance to the surrounding air by measuring its steady-state temperature rise and power dissipation. A ratiometric measurement is realized by employing an identical but capped transducer as a reference. An incremental delta-sigma ADC digitizes the temperature and power ratios of the transducers, from which the ratio of the thermal resistances is calculated. The second sensor is based on a transient measurement of the CO2-dependent thermal time constant of the transducer. The readout circuit periodically heats up the transducer and uses a phase-domain delta-sigma modulator to digitize the CO2-dependent phase shift of the resulting temperature transients. Compared to the ratiometric steady-state measurement, this approach significantly reduces the measurement time and improves the energy efficiency, resulting in a state-of-the-art CO2 resolution of 94 ppm at an energy consumption of 12 mJ per measurement.

  115. An Auto-Zero Stabilized Voltage Buffer with a Quiet Chopping Scheme and Constant Input Current
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    2 2019. DOI: 10.1109/ISSCC.2019.8662437

  116. A 0.12mm2 Wien-Bridge Temperature Sensor with 0.1°C (3σ) Inaccuracy from -40°C to 180°C
    S. Pan; Ç. Gürleyük; M.F. Pimenta; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    2 2019. DOI: 10.1109/ISSCC.2019.8662457

  117. A Wheatstone-Bridge Temperature Sensor with a Resolution FoM of 20fJ·K2
    S. Pan; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    2 2019. DOI: 10.1109/ISSCC.2019.8662337

  118. A Low Power Continuous-Time Zoom ADC for Audio Applications
    B. Gönen; S. Karmakar; R. van Veldhoven; K. A. A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    6 2019. DOI: 10.23919/VLSIC.2019.8778021

  119. A 3.2mW SAR-assisted CTSD ADC with 77.5dB SNDR and 40MHz BW in 28nm CMOS
    P. Cenci; M. Bolatkale; R. Rutten; M. Ganzerli; G. Lassche; K. Makinwa; L. Breems;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    6 2019. DOI: 10.23919/VLSIC.2019.8778176

  120. An Auto-Zero Stabilized Voltage Buffer with a Trimmed Input Current of 0.2pA
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    9 2019. DOI: 10.1109/ESSCIRC.2019.8902895

  121. A 5800 μm2 Resistor-based Temperature Sensor with a one-point Trimmed 3σ Inaccuracy of ±1.1 °C from −50 to 105 °C in 65 nm CMOS
    Y-T Lee; W. Choi; T. Kim; S. Song; K. Makinwa; Y. Chae;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    9 2019. DOI: 10.1109/ESSCIRC.2019.8902650

  122. An Energy-Efficient BJT-Based Temperaure-to-Digital Converter with ±0.13 °C (3σ) Inaccuracy from -40 to 125°C
    R.K. Kumar; H. Jiang; K. A.A. Makinwa;
    In Proc. IEEE Asian Solid-State Circuits Conference (ASSCC),
    11 2019.

  123. Development of Front-End Electronics for Low-Field NMR Applications
    E. Aydin; K.A.A. Makinwa;
    In MFHS,
    2019.

  124. Plantenna: towards a network of vegetation-integrated sensors for plant and environmental monitoring
    MC. ten Veldhuis; R. Uijlenhoet; J. Schmitz; B. Smolders; B. Nauta; P. Baltus; K. Makinwa; P. Steeneken;
    In Geophysical Research Abstracts,
    April 2019. EGU General Assembly 2019 ; Conference date: 07-04-2019 Through 12-04-2019.
    document

  125. Design Considerations for a Mems Coriolis Mass Flow Sensing System
    A.C. de Oliveira; T. Schut; J. Groenesteijn; Qinwen Fan; R.Wiegerink; K.A.A. Makinwa;
    In MFHS,
    2019.

  126. A MEMS Coriolis Mass Flow Sensing System with Combined Drive and Sense Interface
    A. de Oliveira; T. Schut; J. Groenesteijn; Qinwen Fan; R. Wiegerink; K. Makinwa;
    In Proc. IEEE Sensors,
    October 2019.

  127. A MEMS Coriolis Mass Flow Sensing System with Combined Drive and Sense Interface
    A.C. de Oliveira; T. Schut; J. Groenesteijn; Qinwen Fan; R.Wiegerink; K.A.A. Makinwa;
    In Proc. IEEE SENSORS,
    10 2019. DOI: 10.1109/SENSORS43011.2019.8956695

  128. An Energy-Efficient BJT-Based Temperature-to-Digital Converter with ±0.13°C (3σ) Inaccuracy from -40 to 125°C
    Kumar, Rushil K.; Jiang, Hui; Makinwa, Kofi A. A.;
    In 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC),
    pp. 107-108, 2019. DOI: 10.1109/A-SSCC47793.2019.9056962

  129. A MEMS Coriolis Mass Flow Sensing System with Combined Drive and Sense Interface
    de Oliveira, A. C.; Schut, T. V. P.; Groenesteijn, J.; Fan, Q.; Wiegerink, R. J.; Makinwa, K. A. A.;
    In 2019 IEEE SENSORS,
    pp. 1-4, 2019. DOI: 10.1109/SENSORS43011.2019.8956695

  130. A 5800 μm2 Resistor-based Temperature Sensor with a one-point Trimmed 3σ Inaccuracy of ±1.1 °C from −50 to 105 °C in 65 nm CMOS
    Y-T Lee; W. Choi; T. Kim; S. Song; K. Makinwa; Y. Chae;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    pp. 68-71, 9 2019. DOI: 10.1109/ESSCIRC.2019.8902650

  131. An Auto-Zero Stabilized Voltage Buffer with a Trimmed Input Current of 0.2pA
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    pp. 257-260, 9 2019. DOI: 10.1109/ESSCIRC.2019.8902895

  132. A 3.2mW SAR-assisted CTSD ADC with 77.5dB SNDR and 40MHz BW in 28nm CMOS
    P. Cenci; M. Bolatkale; R. Rutten; M. Ganzerli; G. Lassche; K. Makinwa; L. Breems;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. C230-C231, 6 2019. DOI: 10.23919/VLSIC.2019.8778176

  133. A Low Power Continuous-Time Zoom ADC for Audio Applications
    B. Gönen; S. Karmakar; R. van Veldhoven; K. A. A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. C224-C225, 6 2019. DOI: 10.23919/VLSIC.2019.8778021

  134. A Wheatstone-Bridge Temperature Sensor with a Resolution FoM of 20fJ·K2
    S. Pan; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 186-188, 2 2019. DOI: 10.1109/ISSCC.2019.8662337

  135. A 0.12mm2 Wien-Bridge Temperature Sensor with 0.1°C (3σ) Inaccuracy from -40°C to 180°C
    S. Pan; Ç. Gürleyük; M.F. Pimenta; K.A.A Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 184-186, 2 2019. DOI: 10.1109/ISSCC.2019.8662457

  136. An Auto-Zero Stabilized Voltage Buffer with a Quiet Chopping Scheme and Constant Input Current
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 298-299, 2 2019. DOI: 10.1109/ISSCC.2019.8662437

  137. Nano-Power Capacitance-to-Digital Converter
    S. Hussaini; H. Jiang; D. MacSweeney; K.A.A. Makinwa;
    Patent, 20190072597, 2019.

  138. A Resistor-Based Temperature Sensor with a 0.13pJ·K2 Resolution FOM
    S. Pan; Y. Luo; S.H. Shalmany; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 1, pp. 164-173, 1 2018. DOI: 10.1109/JSSC.2017.2746671
    Abstract: ... This paper describes a high-resolution energy-efficient CMOS temperature sensor, intended for the temperature compensation of MEMS/quartz frequency references. The sensor is based on silicided poly-silicon thermistors, which are embedded in a Wien-bridge RC filter. When driven at a fixed frequency, the filter exhibits a temperature-dependent phase shift, which is digitized by an energy-efficient continuous-time phase-domain delta-sigma modulator. Implemented in a 0.18-μm CMOS technology, the sensor draws 87 μA from a 1.8 V supply and achieves a resolution of 410 μKrms in a 5-ms conversion time. This translates into a state-of-the-art resolution figure-of-merit of 0.13 pJ·K². When packaged in ceramic, the sensor achieves an inaccuracy of 0.2 °C (3σ) from -40 °C to 85 °C after a single-point calibration and a correction for systematic nonlinearity. This can be reduced to ±0.03 °C (3σ) after a first-order fit. In addition, the sensor exhibits low 1/f noise and packaging shift.

  139. A Phase-Domain Readout Circuit for a CMOS-Compatible Hot-Wire CO$_2$ Sensor
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. Makinwa; M. Pertijs;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 11, pp. 3303--3313, November 2018. DOI: 10.1109/JSSC.2018.2866374
    Abstract: ... This paper presents a readout circuit for a carbon dioxide (CO2) sensor that measures the CO2-dependent thermal time constant of a hot-wire transducer. The readout circuit periodically heats up the transducer and uses a phase-domain modulator to digitize the phase shift of the resulting temperature transients. A single resistive transducer is used both as a heater and as a temperature sensor, thus greatly simplifying its fabrication. To extract the transducer’s resistance, and hence its temperature, in the presence of large heating currents, a pair of transducers is configured as a differentially driven bridge. The transducers and the readout circuit have been implemented in a standard 0.16-μm CMOS technology, with an active area of 0.3 and 3.14 mm2, respectively. The sensor consumes 6.8 mW from a 1.8-V supply, of which 6.3 mW is dissipated in the transducers. A resolution of 94-ppm CO2 is achieved in a 1.8-s measurement time, which corresponds to an energy consumption of 12 mJ per measurement, >10× less than prior CO2 sensors in CMOS technology.

  140. A Capacitively-Degenerated 100dB Linear 20-150MS/s Dynamic Amplifier
    M. S. Akter; K.A.A. Makinwa; K. Bult;
    IEEE Journal of Solid-State Circuits,
    Volume 53, pp. 1115 - 1126, 4 2018. DOI: 10.1109/JSSC.2017.2778277
    Abstract: ... This paper presents a new dynamic residue amplifier topology for pipelined analog-to-digital converters. With an input signal of 100 mVpp,diff and 4x gain, it achieves -100-dB total harmonic distortion, the lowest ever reported for a dynamic amplifier. Compared to the state of the art, it exhibits 25 dB better linearity with twice the output swing and similar noise performance. The key to this performance is a new linearization technique based on capacitive degeneration, which exploits the exponential voltage-to-current relationship of MOSFET in weak inversion. The prototype amplifier is fabricated in a 28-nm CMOS process and dissipates only 87 μW at a clock speed of 43 MS/s, thereby improving the energy per cycle by 26x compared with that of state-of-the-art high-linearity amplifiers.

  141. A 4.5 nV/\sqrtHz Capacitively-Coupled Continuous-Time Sigma-Delta Modulator with an Energy-Efficient Chopping Scheme
    H. Jiang; C. Ligouras; S. Nihtianov; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, pp. 18-21, 2018. DOI: 10.1109/LSSC.2018.2803447
    Abstract: ... When chopping is applied to a continuous-time sigmadelta modulator (CTΣΔM), quantization noise fold-back often occurs, leading to increased in-band noise. This can be prevented by employing a return-to-zero (RZ) digital-to-analog converter (RZ DAC) in the modulator's feedback path and arranging the chopping transitions to coincide with its RZ phases. In this letter, this technique has been extended and implemented in an energy-efficient CTΣΔM intended for the readout of Wheatstone bridge sensors. To achieve a wide common-mode input range, the modulator's summing node is implemented as an embedded capacitively coupled instrumentation amplifier which can be readily combined with a highly linear 1-bit capacitive RZ DAC. Measurements show that the proposed chopping scheme does not suffer from quantization noise fold-back and also allows a flexible choice of chopping frequency. When chopped at one-tenth of the sampling frequency, the modulator achieves 15 ppm INL, 4.5 nV/√Hz input-referred noise and a state-of-the-art noise efficiency factor of 6.1.

  142. A 19.8 mW Sub-nanometer Eddy-current Displacement Sensor Interface
    V. Chaturvedi; M.R. Nabaviy; J.G. Vogel; K.A.A. Makinwa; S. Nihtianov;
    IEEE Journal of Solid-State Circuits,
    Volume 53, pp. 2273-2283, 5 2018. DOI: 10.1109/JSSC.2018.2832168
    Abstract: ... This paper presents an eddy-current sensor (ECS) interface intended for sub-nanometer (sub-nm) displacement sensing in hi-tech applications. The interface employs a 126-MHz excitation frequency to mitigate the skin effect, and achieve high resolution and stability. An efficient on-chip sensor offset compensation scheme is introduced which removes sensoroffset proportional to the standoff distance. To assist in the ratiometric suppression of noise and drift of the excitation oscillator, the ECS interface consists of a highly linear amplitude demodulation scheme that employs passive capacitors for voltageto-current (V2I) conversion. Using a printed circuit board-based pseudo-differential ECS, stability tests were performed which demonstrated a thermal drift of <;7.3 nm/°C and long-term drift of only 29.5 nm over a period of 60 h. The interface achieves an effective noise floor of 13.4 pm/√Hz which corresponds to a displacement resolution of 0.6 nm in a 2-kHz noise bandwidth. The ECS interface is fabricated in TSMC 0.18-μm CMOS technology and dissipates only 19.8 mW from a 1.8-V supply.

  143. A ±12A High-Side Current Sensor with 25V Input CM Range and 0.35% Gain Error from -40ºC to 85ºC
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, pp. 94-97, 4 2018. DOI: 10.1109/LSSC.2018.2855407
    Abstract: ... This letter presents the most accurate shunt-based high-side current sensor ever reported. It achieves a 25 V input common-mode range from a single 1.8-V supply by using a beyond-the-rails ADC. A hybrid analog/digital temperature compensation scheme is proposed to simplify the circuit implementation while maintaining the state-of-the-art accuracy. Over a ±12-A current range, the sensor exhibits 0.35% gain error from -40 °C to 85 °C with 3× better power efficiency.

  144. A ±4-A High-Side Current Sensor With 0.9% Gain Error From −40 °C to 85 °C Using an Analog Temperature Compensation Technique
    L. Xu; J. H. Huijsing; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3368-3376, 12 2018. DOI: 10.1109/JSSC.2018.2875106
    Abstract: ... This paper presents a fully integrated shunt-based current sensor that supports a 25-V input common-mode range while operating from a single 1.5-V supply. It uses a high-voltage beyond-the-rails ADC to directly digitize the voltage across an on-chip shunt resistor. To compensate for the shunt's large temperature coefficient of resistance (~0.335%/°C), the ADC employs a proportional-to-absolute-temperature voltage reference. This analog compensation scheme obviates the need for the explicit temperature sensor and calibration logic required by digital compensation schemes. The sensor achieves 1.5-μVrms noise over a 2-ms conversion time while drawing only 10.9 μA from a 1.5-V supply. Over a ±4-A range, and after a one-point trim, the sensor exhibits a 0.9% (maximum) gain error from -40 °C to 85 °C and a 0.05% gain error at room temperature.

  145. A Compact Resistor-Based CMOS Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) and a Resolution FoM of 0.43 pJ⋅K^2 in 65-nm CMOS
    W. Choi; Y. Lee; S. Kim; S. Lee; J. Jang; J. Chun; K. A. A. Makinwa; Y. Chae;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3356-3367, 12 2018. DOI: 10.1109/JSSC.2018.2871622
    Abstract: ... This paper presents a compact resistor-based CMOS temperature sensor intended for dense thermal monitoring. It is based on an RC poly-phase filter (PPF), whose temperature-dependent phase shift is read out by a frequency-locked loop (FLL). The PPF's phase shift is determined by a zero-crossing (ZC) detector, allowing the rest of the FLL to be realized in an area-efficient manner. Implemented in a 65-nm CMOS technology, the sensor occupies only 7000 μm². It can operate from supply voltages as low as 0.85 V and consumes 68 μW. A sensor based on a PPF made from silicided p-poly resistors and metal-insulator-metal (MIM) capacitors achieves an inaccuracy of ±0.12 °C (3σ) from -40 °C to 85 °C and a resolution of 2.5 mK (rms) in a 1-ms conversion time. This corresponds to a resolution figure-of-merit (FoM) of 0.43 pJ·K².

  146. A 0.25 mm2-Resistor-Based Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) From −55 °C to 125 °C
    S. Pan; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3347-3355, 12 2018. DOI: 10.1109/JSSC.2018.2869595
    Abstract: ... This paper describes a compact, energy efficient, resistor-based temperature sensor that can operate over a wide temperature range (-55 °C-125 °C). The sensor is based on a Wheatstone bridge (WhB) made from silicided poly-silicon and non-silicided poly-silicon resistors. To achieve both area and energy efficiencies, the current output of the WhB is digitized by a continuous-time zoom analog-to-digital converter (ADC). Implemented in a standard 180-nm CMOS technology, the sensor consumes 52 μA from a 1.8-V supply and achieves a resolution of 280 μKrms in a 5-ms conversion time. This corresponds to a state-of-the-art resolution figure-of-merit (FoM) of 40 fJ · K². After a first-order fit, the sensor achieves an inaccuracy of ±,0.12 °C (3σ) from -55 °C to 125 °C.

  147. A 280μW Dynamic Zoom ADC With 120 dB DR and 118 dB SNDR in 1 kHz BW
    S. Karmakar; B. Gonen; F. Sebstiano; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3497-3507, 12 2018. DOI: 10.1109/JSSC.2018.2865466
    Abstract: ... This paper presents a dynamic zoom analog-to-digital converter for use in low-bandwidth (<1 kHz) instrumentation applications. It employs a high-speed asynchronous successive approximation register (SAR) ADC that dynamically updates the references of a fully differential ΔΣ ADC. Compared to previous zoom ADCs, faster reference updates relax the loop filter requirements, thus allowing the adoption of energy-efficient amplifiers. Fabricated in a 0.16- μm CMOS process, the prototype occupies 0.26 mm 2 and achieves 119.1-dB peak signal-to-noise ratio (SNR), 118.1-dB peak signal-to-noise-and-distortion-ratio (SNDR), and 120.3-dB dynamic range (DR) in a 1-kHz bandwidth while consuming 280 μW . This results in a Schreier figure of merit (FoM) of 185.8 dB.

  148. A CMOS Dual-RC Frequency Reference with ±200-ppm Inaccuracy from −45 °C to 85 °C
    Ç. Gürleyük; L. Pedalà; S. Pan; F. Sebastiano; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3386-3395, 12 2018. DOI: 10.1109/JSSC.2018.2869083
    Abstract: ... This paper presents a 7-MHz CMOS RC frequency reference. It consists of a frequency-locked loop in which the output frequency of a digitally controlled oscillator (DCO) is locked to the combined phase shifts of two independent RC (Wien bridge) filters, each employing resistors with complementary temperature coefficients. The filters are driven by the DCO’s output frequency and the resulting phase shifts are digitized by high-resolution phase-to-digital converters. Their outputs are then combined in the digital domain to realize a temperature-independent frequency error signal. This digitally assisted temperature compensation scheme achieves an inaccuracy of ±200 ppm from –45 °C to 85 °C after a two-point trim. The frequency reference draws 430 μA from a 1.8-V supply, while achieving a supply sensitivity of 0.18%/V and a 330-ppb Allan deviation floor in 3 s of measurement time.

  149. A 66 dB SNDR Pipelined Split-ADC in 40 nm CMOS Using a Class-AB Residue Amplifier
    M. S. Akter; R. Sehgal; F. van der Goes; K. A. A. Makinwa; K. Bult;
    IEEE Journal of Solid-State Circuits,
    Volume 53, pp. 2939-2950, 10 2018. DOI: 10.1109/JSSC.2018.2859415
    Abstract: ... This paper presents a closed-loop class-AB residue amplifier for pipelined analog-to-digital converters (ADCs). It consists of a push–pull structure with a “split-capacitor” biasing circuit that enhances its power efficiency. The amplifier is inherently quite linear, and so incomplete settling can be used to save power while still maintaining sufficient linearity. This also allows the amplifier’s gain to be corrected by adjusting its bias current. When combined with digital gain-error detection, in this case the split-ADC technique, the result is a power-efficient gain calibration scheme. In a prototype pipelined ADC, this scheme converges in only 12 000 clock cycles. With a near-Nyquist input, the ADC achieves 66-dB SNDR and 77.3-dB SFDR at 53 MS/s. Implemented in 40-nm CMOS, it dissipates 9 mW, of which 0.83 mW is consumed in the residue amplifiers. This represents a 1.8 × improvement in power efficiency compared to state-of-the-art class-AB residue amplifiers.

  150. A 280μW Dynamic Zoom ADC With 120 dB DR and 118 dB SNDR in 1 kHz BW
    S. Karmakar; B. Gonen; F. Sebstiano; R. van Veldhoven; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3497-3507, 12 2018. DOI: 10.1109/JSSC.2018.2865466
    Abstract: ... This paper presents a dynamic zoom analog-to-digital converter for use in low-bandwidth (<1 kHz) instrumentation applications. It employs a high-speed asynchronous successive approximation register (SAR) ADC that dynamically updates the references of a fully differential ΔΣ ADC. Compared to previous zoom ADCs, faster reference updates relax the loop filter requirements, thus allowing the adoption of energy-efficient amplifiers. Fabricated in a 0.16- μm CMOS process, the prototype occupies 0.26 mm 2 and achieves 119.1-dB peak signal-to-noise ratio (SNR), 118.1-dB peak signal-to-noise-and-distortion-ratio (SNDR), and 120.3-dB dynamic range (DR) in a 1-kHz bandwidth while consuming 280 μW . This results in a Schreier figure of merit (FoM) of 185.8 dB.

  151. A CMOS Dual-RC Frequency Reference with ±200-ppm Inaccuracy from −45 °C to 85 °C
    Ç. Gürleyük; L. Pedalà; S. Pan; F. Sebastiano; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3386-3395, 12 2018. DOI: 10.1109/JSSC.2018.2869083
    Abstract: ... This paper presents a 7-MHz CMOS RC frequency reference. It consists of a frequency-locked loop in which the output frequency of a digitally controlled oscillator (DCO) is locked to the combined phase shifts of two independent RC (Wien bridge) filters, each employing resistors with complementary temperature coefficients. The filters are driven by the DCO’s output frequency and the resulting phase shifts are digitized by high-resolution phase-to-digital converters. Their outputs are then combined in the digital domain to realize a temperature-independent frequency error signal. This digitally assisted temperature compensation scheme achieves an inaccuracy of ±200 ppm from –45 °C to 85 °C after a two-point trim. The frequency reference draws 430 μA from a 1.8-V supply, while achieving a supply sensitivity of 0.18%/V and a 330-ppb Allan deviation floor in 3 s of measurement time.

  152. A ±4-A High-Side Current Sensor With 0.9% Gain Error From −40 °C to 85 °C Using an Analog Temperature Compensation Technique
    L. Xu; J. H. Huijsing; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3368-3376, 12 2018. DOI: 10.1109/JSSC.2018.2875106
    Abstract: ... This paper presents a fully integrated shunt-based current sensor that supports a 25-V input common-mode range while operating from a single 1.5-V supply. It uses a high-voltage beyond-the-rails ADC to directly digitize the voltage across an on-chip shunt resistor. To compensate for the shunt's large temperature coefficient of resistance (~0.335%/°C), the ADC employs a proportional-to-absolute-temperature voltage reference. This analog compensation scheme obviates the need for the explicit temperature sensor and calibration logic required by digital compensation schemes. The sensor achieves 1.5-μVrms noise over a 2-ms conversion time while drawing only 10.9 μA from a 1.5-V supply. Over a ±4-A range, and after a one-point trim, the sensor exhibits a 0.9% (maximum) gain error from -40 °C to 85 °C and a 0.05% gain error at room temperature.

  153. A Compact Resistor-Based CMOS Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) and a Resolution FoM of 0.43 pJ⋅K^2 in 65-nm CMOS
    W. Choi; Y. Lee; S. Kim; S. Lee; J. Jang; J. Chun; K. A. A. Makinwa; Y. Chae;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3356-3367, 12 2018. DOI: 10.1109/JSSC.2018.2871622
    Abstract: ... This paper presents a compact resistor-based CMOS temperature sensor intended for dense thermal monitoring. It is based on an RC poly-phase filter (PPF), whose temperature-dependent phase shift is read out by a frequency-locked loop (FLL). The PPF's phase shift is determined by a zero-crossing (ZC) detector, allowing the rest of the FLL to be realized in an area-efficient manner. Implemented in a 65-nm CMOS technology, the sensor occupies only 7000 μm². It can operate from supply voltages as low as 0.85 V and consumes 68 μW. A sensor based on a PPF made from silicided p-poly resistors and metal-insulator-metal (MIM) capacitors achieves an inaccuracy of ±0.12 °C (3σ) from -40 °C to 85 °C and a resolution of 2.5 mK (rms) in a 1-ms conversion time. This corresponds to a resolution figure-of-merit (FoM) of 0.43 pJ·K².

  154. A 0.25 mm2-Resistor-Based Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) From −55 °C to 125 °C
    S. Pan; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 12, pp. 3347-3355, 12 2018. DOI: 10.1109/JSSC.2018.2869595
    Abstract: ... This paper describes a compact, energy efficient, resistor-based temperature sensor that can operate over a wide temperature range (-55 °C-125 °C). The sensor is based on a Wheatstone bridge (WhB) made from silicided poly-silicon and non-silicided poly-silicon resistors. To achieve both area and energy efficiencies, the current output of the WhB is digitized by a continuous-time zoom analog-to-digital converter (ADC). Implemented in a standard 180-nm CMOS technology, the sensor consumes 52 μA from a 1.8-V supply and achieves a resolution of 280 μKrms in a 5-ms conversion time. This corresponds to a state-of-the-art resolution figure-of-merit (FoM) of 40 fJ · K². After a first-order fit, the sensor achieves an inaccuracy of ±,0.12 °C (3σ) from -55 °C to 125 °C.

  155. A Phase-Domain Readout Circuit for a CMOS-Compatible Hot-Wire CO2 Sensor
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. Makinwa; M. Pertijs;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 11, pp. 3303--3313, November 2018. DOI: 10.1109/JSSC.2018.2866374
    Abstract: ... This paper presents a readout circuit for a carbon dioxide (CO2) sensor that measures the CO2-dependent thermal time constant of a hot-wire transducer. The readout circuit periodically heats up the transducer and uses a phase-domain modulator to digitize the phase shift of the resulting temperature transients. A single resistive transducer is used both as a heater and as a temperature sensor, thus greatly simplifying its fabrication. To extract the transducer’s resistance, and hence its temperature, in the presence of large heating currents, a pair of transducers is configured as a differentially driven bridge. The transducers and the readout circuit have been implemented in a standard 0.16-μm CMOS technology, with an active area of 0.3 and 3.14 mm2, respectively. The sensor consumes 6.8 mW from a 1.8-V supply, of which 6.3 mW is dissipated in the transducers. A resolution of 94-ppm CO2 is achieved in a 1.8-s measurement time, which corresponds to an energy consumption of 12 mJ per measurement, >10× less than prior CO2 sensors in CMOS technology.

  156. A 19.8 mW Sub-nanometer Eddy-current Displacement Sensor Interface
    V. Chaturvedi; M.R. Nabaviy; J.G. Vogel; K.A.A. Makinwa; S. Nihtianov;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 8, pp. 2273-2283, 5 2018. DOI: 10.1109/JSSC.2018.2832168
    Abstract: ... This paper presents an eddy-current sensor (ECS) interface intended for sub-nanometer (sub-nm) displacement sensing in hi-tech applications. The interface employs a 126-MHz excitation frequency to mitigate the skin effect, and achieve high resolution and stability. An efficient on-chip sensor offset compensation scheme is introduced which removes sensoroffset proportional to the standoff distance. To assist in the ratiometric suppression of noise and drift of the excitation oscillator, the ECS interface consists of a highly linear amplitude demodulation scheme that employs passive capacitors for voltageto-current (V2I) conversion. Using a printed circuit board-based pseudo-differential ECS, stability tests were performed which demonstrated a thermal drift of <;7.3 nm/°C and long-term drift of only 29.5 nm over a period of 60 h. The interface achieves an effective noise floor of 13.4 pm/√Hz which corresponds to a displacement resolution of 0.6 nm in a 2-kHz noise bandwidth. The ECS interface is fabricated in TSMC 0.18-μm CMOS technology and dissipates only 19.8 mW from a 1.8-V supply.

  157. A ±12A High-Side Current Sensor with 25V Input CM Range and 0.35% Gain Error from -40ºC to 85ºC
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, Issue 4, pp. 94-97, 4 2018. DOI: 10.1109/LSSC.2018.2855407
    Abstract: ... This letter presents the most accurate shunt-based high-side current sensor ever reported. It achieves a 25 V input common-mode range from a single 1.8-V supply by using a beyond-the-rails ADC. A hybrid analog/digital temperature compensation scheme is proposed to simplify the circuit implementation while maintaining the state-of-the-art accuracy. Over a ±12-A current range, the sensor exhibits 0.35% gain error from -40 °C to 85 °C with 3× better power efficiency.

  158. A 4.5 nV/\sqrtHz Capacitively-Coupled Continuous-Time Sigma-Delta Modulator with an Energy-Efficient Chopping Scheme
    H. Jiang; C. Ligouras; S. Nihtianov; K.A.A. Makinwa;
    IEEE Solid-State Circuits Letters,
    Volume 1, Issue 1, pp. 18-21, 2018. DOI: 10.1109/LSSC.2018.2803447
    Abstract: ... When chopping is applied to a continuous-time sigmadelta modulator (CTΣΔM), quantization noise fold-back often occurs, leading to increased in-band noise. This can be prevented by employing a return-to-zero (RZ) digital-to-analog converter (RZ DAC) in the modulator's feedback path and arranging the chopping transitions to coincide with its RZ phases. In this letter, this technique has been extended and implemented in an energy-efficient CTΣΔM intended for the readout of Wheatstone bridge sensors. To achieve a wide common-mode input range, the modulator's summing node is implemented as an embedded capacitively coupled instrumentation amplifier which can be readily combined with a highly linear 1-bit capacitive RZ DAC. Measurements show that the proposed chopping scheme does not suffer from quantization noise fold-back and also allows a flexible choice of chopping frequency. When chopped at one-tenth of the sampling frequency, the modulator achieves 15 ppm INL, 4.5 nV/√Hz input-referred noise and a state-of-the-art noise efficiency factor of 6.1.

  159. A Capacitively-Degenerated 100dB Linear 20-150MS/s Dynamic Amplifier
    M. S. Akter; K.A.A. Makinwa; K. Bult;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 4, pp. 1115 - 1126, 4 2018. DOI: 10.1109/JSSC.2017.2778277
    Abstract: ... This paper presents a new dynamic residue amplifier topology for pipelined analog-to-digital converters. With an input signal of 100 mVpp,diff and 4x gain, it achieves -100-dB total harmonic distortion, the lowest ever reported for a dynamic amplifier. Compared to the state of the art, it exhibits 25 dB better linearity with twice the output swing and similar noise performance. The key to this performance is a new linearization technique based on capacitive degeneration, which exploits the exponential voltage-to-current relationship of MOSFET in weak inversion. The prototype amplifier is fabricated in a 28-nm CMOS process and dissipates only 87 μW at a clock speed of 43 MS/s, thereby improving the energy per cycle by 26x compared with that of state-of-the-art high-linearity amplifiers.

  160. A 66 dB SNDR Pipelined Split-ADC in 40 nm CMOS Using a Class-AB Residue Amplifier
    M. S. Akter; R. Sehgal; F. van der Goes; K. A. A. Makinwa; K. Bult;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 10, pp. 2939-2950, 10 2018. DOI: 10.1109/JSSC.2018.2859415
    Abstract: ... This paper presents a closed-loop class-AB residue amplifier for pipelined analog-to-digital converters (ADCs). It consists of a push–pull structure with a “split-capacitor” biasing circuit that enhances its power efficiency. The amplifier is inherently quite linear, and so incomplete settling can be used to save power while still maintaining sufficient linearity. This also allows the amplifier’s gain to be corrected by adjusting its bias current. When combined with digital gain-error detection, in this case the split-ADC technique, the result is a power-efficient gain calibration scheme. In a prototype pipelined ADC, this scheme converges in only 12 000 clock cycles. With a near-Nyquist input, the ADC achieves 66-dB SNDR and 77.3-dB SFDR at 53 MS/s. Implemented in 40-nm CMOS, it dissipates 9 mW, of which 0.83 mW is consumed in the residue amplifiers. This represents a 1.8 × improvement in power efficiency compared to state-of-the-art class-AB residue amplifiers.

  161. A Resistor-Based Temperature Sensor with a 0.13pJ·K2 Resolution FOM
    S. Pan; Y. Luo; S.H. Shalmany; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 53, Issue 1, pp. 164-173, 1 2018. DOI: 10.1109/JSSC.2017.2746671
    Abstract: ... This paper describes a high-resolution energy-efficient CMOS temperature sensor, intended for the temperature compensation of MEMS/quartz frequency references. The sensor is based on silicided poly-silicon thermistors, which are embedded in a Wien-bridge RC filter. When driven at a fixed frequency, the filter exhibits a temperature-dependent phase shift, which is digitized by an energy-efficient continuous-time phase-domain delta-sigma modulator. Implemented in a 0.18-μm CMOS technology, the sensor draws 87 μA from a 1.8 V supply and achieves a resolution of 410 μKrms in a 5-ms conversion time. This translates into a state-of-the-art resolution figure-of-merit of 0.13 pJ·K². When packaged in ceramic, the sensor achieves an inaccuracy of 0.2 °C (3σ) from -40 °C to 85 °C after a single-point calibration and a correction for systematic nonlinearity. This can be reduced to ±0.03 °C (3σ) after a first-order fit. In addition, the sensor exhibits low 1/f noise and packaging shift.

  162. Low-Power Active Electrodes for Wearable EEG Acquisition
    J. Xu; R. Yazicioglu; K.A.A. Makinwa; C. Van Hoof;
    Springer, , 2018.

  163. Energy-Efficient Smart Temperature Sensors in CMOS Technology
    K. Souri; K.A.A. Makinwa;
    Springer, , 2018.

  164. Hybrid ADCs, Smart Sensors for the IoT, and Sub-1V \& Advanced Node Analog Circuit Design
    P. Harpe; K. A. A. Makinwa; A. Baschirotto;
    Springer, , 2018.

  165. Low power active electrode ICs for wearable EEG acquisition
    Xu, Jiawei; Van Hoof, Chris; Makinwa, Kofi;
    Springer, , 2018.

  166. Energy-Efficient Smart Temperature Sensors in CMOS Technology
    Souri, Kamran; Makinwa, Kofi;
    Springer, , 2018.

  167. CMOS-Compatible Carbon Dioxide Sensors
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. A. A. Makinwa; M. Pertijs;
    In Low-Power Analog Techniques, Sensors for Mobile Devices, and Energy Efficient Amplifiers,
    Springer Science \& Business Media, November 2018. DOI: 10.1007/978-3-319-97870-3
    Abstract: ... This chapter presents two cost-effective sensors that measure ambient carbon dioxide (CO2) concentration, intended for application in smart ventilation systems in buildings or in mobile devices. Both sensors employ a suspended hot-wire transducer to detect the CO2-dependent thermal conductivity (TC) of the ambient air. The resistive transducer is realized in the VIA layer of a standard CMOS process using a single etch step. The first sensor determines the transducer’s CO2-dependent thermal resistance to the surrounding air by measuring its steady-state temperature rise and power dissipation. A ratiometric measurement is realized by employing an identical but capped transducer as a reference. An incremental delta-sigma ADC digitizes the temperature and power ratios of the transducers, from which the ratio of the thermal resistances is calculated. The second sensor is based on a transient measurement of the CO2-dependent thermal time constant of the transducer. The readout circuit periodically heats up the transducer and uses a phase-domain delta-sigma modulator to digitize the CO2-dependent phase shift of the resulting temperature transients. Compared to the ratiometric steady-state measurement, this approach significantly reduces the measurement time and improves the energy efficiency, resulting in a state-of-the art CO2 resolution of 94 ppm at an energy consumption of 12 mJ per measurement.

    document

  168. A Phase-Domain Readout Circuit for a CMOS Compatible Thermal-Conductivity-Based Carbon Dioxide Sensor
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. A. A. Makinwa; M. A. P. Pertijs;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 332-333, February 2018. DOI: 10.1109/ISSCC.2018.8310319

  169. A 0.25mm2 resistor-based temperature sensor with an inaccuracy of 0.12°C (3σ) from −55°C to 125°C and a resolution FOM of 32fJK2
    S. Pan; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 320 - 322, 2 2018. DOI: 10.1109/ISSCC.2018.8310313

  170. A 0.53pJK2 7000μm2 resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS
    W. Choi; Y.T. Lee; S. Kim; S. Lee; J. Jang; J. Chun; K.A.A. Makinwa; Y. Chae;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 322-324, 2 2018. DOI: 10.1109/ISSCC.2018.8310314

  171. A ±4A high-side current sensor with 25V input CM range and 0.9% gain error from −40° C to 85° C using an analog temperature compensation technique
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 324-326, 2 2018. DOI: 10.1109/ISSCC.2018.8310315

  172. A 280μW dynamic-zoom ADC with 120dB DR and 118dB SNDR in 1kHz BWA 280μW dynamic-zoom ADC with 120dB DR and 118dB SNDR in 1kHz BW
    S. Karmakar; B. Gònen; F. Sebastiano; R. van Veldhoven; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 238-240, 2 2018. DOI: 10.1109/ISSCC.2018.8310272

  173. A quiet digitally assisted auto-zero-stabilized voltage buffer with 0.6 pA input current and offset
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 50-52, 2 2018. DOI: 10.1109/ISSCC.2018.8310178

  174. A CMOS Dual-RC frequency reference with±250ppm inaccuracy from− 45° C to 85° C
    C. Gürleyük; L. Pedala; F. Sebastiano; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 54-56, 2 2018. DOI: 10.1109/ISSCC.2018.8310180

  175. Energy-efficient bridge-to-digital converters
    H. Jiang; K.A.A. Makinwa;
    In Proc. IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-7, 4 2018. DOI: 10.1109/CICC.2018.8357027

  176. A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    2018. DOI: 10.1109/ESSCIRC.2018.8494283

  177. A 6800‐μm2 Resistor‐Based Temperature Sensor in 180‐nm CMOS
    Jan Angevare; Kofi A. A. Makinwa;
    In Proc. IEEE Asian Solid-State Circuits Conference (ASSCC),
    11 2018. DOI: 10.1109/ASSCC.2018.8579332

  178. CMOS-Compatible Carbon Dioxide Sensors
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. A. A. Makinwa; M. Pertijs;
    In Proc. Workshop on Advances in Analog Circuit Design (AACD),
    pp. 68-91, April 2018. invited paper. DOI: 10.1007/978-3-319-97870-3
    document

  179. Capacitively-Coupled Chopper Instrumentation Amplifiers: An Overview
    Qinwen Fan; Kofi A. A. Makinwa;
    In Proc. IEEE Sensors,
    10 2018. DOI: 10.1109/ICSENS.2018.8589958

  180. Capacitively-coupled Chopper Instrumentation Amplifiers: An Overview
    Fan, Qinwen; Makinwa, Kofi;
    In 2018 IEEE SENSORS,
    pp. 1-4, 2018. DOI: 10.1109/ICSENS.2018.8589958

  181. A 6800‐μm2 Resistor‐Based Temperature Sensor in 180‐nm CMOS
    Jan Angevare; Kofi A. A. Makinwa;
    In Proc. IEEE Asian Solid-State Circuits Conference (ASSCC),
    pp. 43-46, 11 2018. DOI: 10.1109/ASSCC.2018.8579332

  182. A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor
    S. Hussaini; H. Jiang; P. Walsh; D. MacSweeney; K.A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    pp. 190-193, 2018. DOI: 10.1109/ESSCIRC.2018.8494283

  183. Energy-efficient bridge-to-digital converters
    H. Jiang; K.A.A. Makinwa;
    In Proc. IEEE Custom Integrated Circuits Conference (CICC),
    pp. 1-7, 4 2018. DOI: 10.1109/CICC.2018.8357027

  184. A CMOS Dual-RC frequency reference with±250ppm inaccuracy from− 45° C to 85° C
    C. Gürleyük; L. Pedala; F. Sebastiano; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 54-56, 2 2018. DOI: 10.1109/ISSCC.2018.8310180

  185. A quiet digitally assisted auto-zero-stabilized voltage buffer with 0.6 pA input current and offset
    T. Rooijers; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 50-52, 2 2018. DOI: 10.1109/ISSCC.2018.8310178

  186. A 280μW dynamic-zoom ADC with 120dB DR and 118dB SNDR in 1kHz BWA 280μW dynamic-zoom ADC with 120dB DR and 118dB SNDR in 1kHz BW
    S. Karmakar; B. Gònen; F. Sebastiano; R. van Veldhoven; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 238-240, 2 2018. DOI: 10.1109/ISSCC.2018.8310272

  187. A Phase-Domain Readout Circuit for a CMOS Compatible Thermal-Conductivity-Based Carbon Dioxide Sensor
    Z. Cai; R. van Veldhoven; H. Suy; G. de Graaf; K. A. A. Makinwa; M. A. P. Pertijs;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 332-333, February 2018. DOI: 10.1109/ISSCC.2018.8310319

  188. A ±4A high-side current sensor with 25V input CM range and 0.9% gain error from −40° C to 85° C using an analog temperature compensation technique
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 324-326, 2 2018. DOI: 10.1109/ISSCC.2018.8310315

  189. A 0.53pJK2 7000μm2 resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS
    W. Choi; Y.T. Lee; S. Kim; S. Lee; J. Jang; J. Chun; K.A.A. Makinwa; Y. Chae;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 322-324, 2 2018. DOI: 10.1109/ISSCC.2018.8310314

  190. A 0.25mm2 resistor-based temperature sensor with an inaccuracy of 0.12°C (3σ) from −55°C to 125°C and a resolution FOM of 32fJK2
    S. Pan; K.A.A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 320 - 322, 2 2018. DOI: 10.1109/ISSCC.2018.8310313

  191. Heater-assisted voltage calibration of digital temperature sensors
    B. Yousefzadeh; K. Souri; K. A. A. Makinwa;
    Patent, US15422687, 2018.

  192. Delta modulator receive channel for capacitance measurement circuits
    R. R. Bacchu; K. Hosseini; D. MacSweeney; P. M. Walsh; K. A. A. Makinwa;
    Patent, US9923572, 2018.

  193. Fully Capacitive Coupled Input Choppers
    J. H. Huijsing; Qinwen Fan; K. A. A. Makinwa;
    Patent, US US10033369B2, July 2018. Assignee: Maxim Integrated Products Inc.

  194. Analysis and Design of VCO-Based Phase-Domain ΣΔ Modulators
    U. Sonmez; F. Sebastiano; K. Makinwa;
    IEEE Transactions on Circuits and Systems I,
    Volume 64, pp. 1075-1084, 5 2017. DOI: 10.1109/TCSI.2016.2638827

  195. Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm CMOS for SoC Thermal Monitoring
    U. Sonmez; F. Sebastiano; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 3, pp. 834-843, March 2017. DOI: 10.1109/jssc.2016.2646798

  196. A Hybrid Multi-Path CMOS Magnetic Sensor with 76 ppm/˚C Sensitivity Drift and Discrete-Time Ripple Reduction Loops
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, pp. 1876 - 1884, 7 2017. DOI: 10.1109/jssc.2017.2685462

  197. Active Electrodes for Wearable EEG Acquisition: Review and Design Methodology
    J. Xu; S. Mitra; C. Van Hoof; R. Yazicioglu; K.A.A Makinwa;
    IEEE Reviews in Biomedical Engineering,
    Volume PP, pp. 1-1, 2017. DOI: 10.1109/RBME.2017.2656388

  198. A Dynamic Zoom ADC with 109-dB DR for Audio Applications
    B. Gonen; F. Sebastiano; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, pp. 1542-1550, 6 2017. DOI: 10.1109/jssc.2017.2669022

  199. A BJT-based Temperature-to-Digital Converter with ±60mK (3σ) Inaccuracy from −55°C to +125°C in 0.16μm Standard CMOS
    B. Yousefzadeh; S.H. Shalmany; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 1044-1052, 4 2017. DOI: 10.1109/JSSC.2016.2638464

  200. A Low-Power Microcontroller in a 40-nm CMOS Using Charge Recycling
    K. Blutman; A. Kapoor; A. Majumdar; J.G. Martinez; L. Sevat; A.P. van der Wel; H. Fatemi; K.A.A. Makinwa; J.P. de Gyvez;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 950-960, 1 2017. DOI: 10.1109/jssc.2016.2637003

  201. A ±36A Integrated Current-Sensing System with 0.3% Gain Error and 400μA Offset from −55°C to +85°C
    S.H. Shalmany; D. Draxelmayr; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 1034-1043, 4 2017. DOI: 10.1109/JSSC.2016.2639535

  202. An accurate BJT-based CMOS temperature sensor with Duty-Cycle-Modulated output
    G. Wang; A. Heidari; K.A.A. Makinwa; G.C.M. Meijer;
    IEEE Transactions on Industrial Electronics,
    Volume 64, 2 2017. DOI: 10.1109/tie.2016.2614273

  203. Multipath Wide-Bandwidth CMOS Magnetic Sensors
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, pp. 198-209, 1 2017. DOI: 10.1109/jssc.2016.2619711

  204. A CMOS Readout Circuit for Resistive Transducers Based on Algorithmic Resistance and Power Measurement
    Z. Cai; L. Rueda Guerrero; A. Louwerse; H. Suy; R. van Veldhoven; K. Makinwa; M. Pertijs;
    IEEE Sensors Journal,
    Volume 17, Issue 23, pp. 7917-7927, December 2017. DOI: 10.1109/JSEN.2017.2764161
    Abstract: ... This paper reports a readout circuit capable of accurately measuring not only the resistance of a resistive transducer, but also the power dissipated in it, which is a critical parameter in thermal flow sensors or thermal-conductivity sensors. A front-end circuit, integrated in a standard CMOS technology, sets the voltage drop across the transducer, and senses the resulting current via an on-chip reference resistor. The voltages across the transducer and the reference resistor are digitized by a time-multiplexed high-resolution analog-todigital converter (ADC) and post-processed to calculate resistance and power dissipation. To obtain accurate resistance and power readings, a voltage reference and a temperature-compensated reference resistor are required. An accurate voltage reference is constructed algorithmically, without relying on precision analog signal processing, by using the ADC to successively digitize the base–emitter voltages of an on-chip bipolar transistor biased at several different current levels, and then combining the results to obtain the equivalent of a precision curvature-corrected bandgap reference with a temperature coefficient of 18 ppm/°C, which is close to the state-of-the-art. We show that the same ADC readings can be used to determine die temperature, with an absolute inaccuracy of ±0.25 °C (5 samples, min–max) after a 1-point trim. This information is used to compensate for the temperature dependence of the on-chip polysilicon reference resistor, effectively providing a temperature-compensated resistance reference. With this approach, the resistance and power dissipation of a 100 transducer have been measured with an inaccuracy of less than ±0.55 and ±0.8\%, respectively, from −40 °C to 125 °C.

  205. A CMOS Readout Circuit for Resistive Transducers Based on Algorithmic Resistance and Power Measurement
    Z. Cai; L. Rueda Guerrero; A. Louwerse; H. Suy; R. van Veldhoven; K. Makinwa; M. Pertijs;
    IEEE Sensors Journal,
    Volume 17, Issue 23, pp. 7917-7927, December 2017. DOI: 10.1109/JSEN.2017.2764161
    Abstract: ... This paper reports a readout circuit capable of accurately measuring not only the resistance of a resistive transducer, but also the power dissipated in it, which is a critical parameter in thermal flow sensors or thermal-conductivity sensors. A front-end circuit, integrated in a standard CMOS technology, sets the voltage drop across the transducer, and senses the resulting current via an on-chip reference resistor. The voltages across the transducer and the reference resistor are digitized by a time-multiplexed high-resolution analog-todigital converter (ADC) and post-processed to calculate resistance and power dissipation. To obtain accurate resistance and power readings, a voltage reference and a temperature-compensated reference resistor are required. An accurate voltage reference is constructed algorithmically, without relying on precision analog signal processing, by using the ADC to successively digitize the base–emitter voltages of an on-chip bipolar transistor biased at several different current levels, and then combining the results to obtain the equivalent of a precision curvature-corrected bandgap reference with a temperature coefficient of 18 ppm/°C, which is close to the state-of-the-art. We show that the same ADC readings can be used to determine die temperature, with an absolute inaccuracy of ±0.25 °C (5 samples, min–max) after a 1-point trim. This information is used to compensate for the temperature dependence of the on-chip polysilicon reference resistor, effectively providing a temperature-compensated resistance reference. With this approach, the resistance and power dissipation of a 100 transducer have been measured with an inaccuracy of less than ±0.55 and ±0.8\%, respectively, from −40 °C to 125 °C.

  206. A Hybrid Multi-Path CMOS Magnetic Sensor with 76 ppm/˚C Sensitivity Drift and Discrete-Time Ripple Reduction Loops
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 7, pp. 1876 - 1884, 7 2017. DOI: 10.1109/jssc.2017.2685462

  207. Active Electrodes for Wearable EEG Acquisition: Review and Design Methodology
    J. Xu; S. Mitra; C. Van Hoof; R. Yazicioglu; K.A.A Makinwa;
    IEEE Reviews in Biomedical Engineering,
    Volume PP, pp. 1-1, 2017. DOI: 10.1109/RBME.2017.2656388

  208. A Dynamic Zoom ADC with 109-dB DR for Audio Applications
    B. Gonen; F. Sebastiano; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 6, pp. 1542-1550, 6 2017. DOI: 10.1109/jssc.2017.2669022

  209. Analysis and Design of VCO-Based Phase-Domain ΣΔ Modulators
    U. Sonmez; F. Sebastiano; K. Makinwa;
    IEEE Transactions on Circuits and Systems I,
    Volume 64, Issue 5, pp. 1075-1084, 5 2017. DOI: 10.1109/TCSI.2016.2638827

  210. A BJT-based Temperature-to-Digital Converter with ±60mK (3σ) Inaccuracy from −55°C to +125°C in 0.16μm Standard CMOS
    B. Yousefzadeh; S.H. Shalmany; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 1044-1052, 4 2017. DOI: 10.1109/JSSC.2016.2638464

  211. A Low-Power Microcontroller in a 40-nm CMOS Using Charge Recycling
    K. Blutman; A. Kapoor; A. Majumdar; J.G. Martinez; L. Sevat; A.P. van der Wel; H. Fatemi; K.A.A. Makinwa; J.P. de Gyvez;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 950-960, 1 2017. DOI: 10.1109/jssc.2016.2637003

  212. A ±36A Integrated Current-Sensing System with 0.3% Gain Error and 400μA Offset from −55°C to +85°C
    S.H. Shalmany; D. Draxelmayr; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 4, pp. 1034-1043, 4 2017. DOI: 10.1109/JSSC.2016.2639535

  213. Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm CMOS for SoC Thermal Monitoring
    U. Sonmez; F. Sebastiano; K. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 3, pp. 834-843, March 2017. DOI: 10.1109/jssc.2016.2646798

  214. An accurate BJT-based CMOS temperature sensor with Duty-Cycle-Modulated output
    G. Wang; A. Heidari; K.A.A. Makinwa; G.C.M. Meijer;
    IEEE Transactions on Industrial Electronics,
    Volume 64, Issue 2, pp. 1572-1580, 2 2017. DOI: 10.1109/tie.2016.2614273

  215. Multipath Wide-Bandwidth CMOS Magnetic Sensors
    J. Jiang; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 52, Issue 1, pp. 198-209, 1 2017. DOI: 10.1109/jssc.2016.2619711

  216. Hybrid ADCs, Smart Sensors for the IoT, and Sub-1V \& Advanced Node Analog Circuit Design
    P. Harpe; K.A.A. Makinwa; A. Baschirotto;
    Springer, , 2017.

  217. Capacitively-Coupled Chopper Operational Amplifiers
    Qinwen Fan; K.A.A. Makinwa; J.H. Huising;
    Springer, , 2017.

  218. Capacitively-coupled chopper amplifiers
    Fan, Qinwen; Makinwa, Kofi AA; Huijsing, Johan H;
    Springer, , 2017.

  219. Energy-Efficient High-Resolution Resistor-Based Temperature Sensors
    S. Pan; K.A.A. Makinwa;
    Springer, Chapter Hybrid ADCs, Sm, , 2017.

  220. A Hybrid ADC for High Resolution: The Zoom ADC
    B. Gönen; F. Sebastiano; R. van Veldhoven; K.A.A. Makinwa;
    Springer, , 2017.

  221. Optimum Synchronous Phase Detection and its Application in Smart Sensor Interfaces
    S. Pan; K.A.A. Makinwa;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    June 2017. DOI: 10.1109/iscas.2017.8050417

  222. A BJT-Based Temperature Sensor with a Packaging-Robust Inaccuracy of ±0.3°C (3σ) from -55°C to +125°C After Heater-Assisted Voltage Calibration
    B. Yousefzadeh; K.A.A. Makinwa;
    In IEEE International Solid-State Circuits Conference (ISSCC),
    February 2017. DOI: 10.1109/ISSCC.2017.7870311

  223. A 28 nm 2 GS/s 5-b Low-latency SAR ADC with gm-boosted StrongARM Comparator
    P. Cenci; M. Bolatkale; R. Rutten; G. Lassche; K. Makinwa; L. Breems;
    In European Solid-State Circuits Conference (ESSCIRC),
    2017. DOI: 10.1109/ESSCIRC.2017.8094553

  224. A 0.6 nm resolution 19.8mW eddy-current displacement sensor interface with 126MHz excitation
    V. Chaturvedi; M.R. Nabavi; J.G. Vogel; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 174-175, 2017. DOI: 10.1109/ISSCC.2017.7870317

  225. An Energy-Efficient Readout Method for Piezoresistive Differential Pressure Sensors
    H. Jiang; K.A.A. Makinwa; S. Nihitanov;
    In Annual Conference of the IEEE Industrial Electronics Society (IES) 2017: 43rd,
    2017.

  226. A 10kHz-BW 93.7dB-SNR Chopped ΔΣ ADC with 30V Input CM Range and 115dB CMRR at 10kHz
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    In 2017 IEEE Asian Solid-State Circuits Conference,
    2017.

  227. A 0.6 nm resolution 19.8mW eddy-current displacement sensor interface with 126MHz excitation
    V. Chaturvedi; M.R. Nabavi; J.G. Vogel; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Solid-State Circuits Conference (ISSCC),
    2017. DOI: 10.1109/ISSCC.2017.7870317

  228. A 12μW NPN-based Temperature Sensor with a 18.4pJ·K2 FOM in 0.18μM BCD CMOS
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    In Proc. Int. Workshop on Advances in Sensors and Interfaces (IWASI),
    June 2017. DOI: 10.1109/iwasi.2017.7974246

  229. A Hybrid ADC for High Resolution: The Zoom ADC
    B. Gönen; F. Sebastiano; R. van Veldhoven; K.A.A. Makinwa;
    In Proc. Advances in Analog Circuit Design Workshop (AACD),
    April 2017. DOI: 10.1007/978-3-319-61285-0_6

  230. Energy-Efficient High-Resolution Resistor-Based Temperature Sensors
    S. Pan; K.A.A. Makinwa;
    In Proc. Advances in Analog Circuit Design Workshop (AACD),
    April 2017. DOI: 10.1007/978-3-319-61285-0_10

  231. A Frequency-Locked Loop Based on an Oxide Electrothermal Filter in Standard CMOS
    L. Pedala; C. Gurleyuk; S. Pan; F. Sebastiano; K. Makinwa;
    In European Solid-State Circuits Conference (ESSCIRC),
    Leuven, Belgium, 9 2017. DOI: 10.1109/esscirc.2017.8094512

  232. A CMOS Temperature Sensor with a 49fJ·K2 Resolution FoM
    S. Pan; H. Jiang; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    6 2017. DOI: 10.23919/vlsic.2017.8008557

  233. A Capacitively-Degenerated 100dB Linear 20-150MS/s Dynamic Amplifier
    M. S. Akter; K.A.A. Makinwa; K. Bult;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    6 2017. DOI: 10.23919/vlsic.2017.8008459

  234. An energy-efficient 3.7nV/ sqrtHz bridge-readout IC with a stable bridge offset compensation scheme
    H. Jiang; K. A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 172-173, Feb 2017. DOI: 10.1109/ISSCC.2017.7870316

  235. A 9.1 mW inductive displacement-to-digital converter with 1.85 nm resolution
    V. Chaturvedi; J. G. Vogel; K. A. A. Makinwa; S. Nihtianov;
    In 2017 Symposium on VLSI Circuits,
    pp. C80-C81, June 2017. DOI: 10.23919/vlsic.2017.8008556

  236. Next generation CMOS sensors
    K.A.A. Makinwa;
    In Proc. Int. Workshop on Advances in Sensors and Interfaces (IWASI),
    6 2017. DOI: 10.1109/IWASI.2017.7974250

  237. An Energy-Efficient Readout Method for Piezoresistive Differential Pressure Sensors
    H. Jiang; Kofi A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE Industrial Electronics Conference (IECON), Beijing,
    Nov. 2017.

  238. Chopping in Continuous-Time Sigma-Delta Modulators
    H. Jiang; B. Gonen; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    June 2017. DOI: 10.1109/iscas.2017.8050951

  239. A Resistor-Based Temperature Sensor with a 0.13pJ·K2 Resolution FOM
    S. Pan; Y. Luo; S.H. Shalmany; K.A.A. Makinwa;
    In IEEE International Solid-State Circuits Conference (ISSCC),
    February 2017. DOI: 10.1109/jssc.2017.2746671

  240. A compact sensor readout circuit with temperature, capacitance and voltage sensing functionalities
    B. Yousefzadeh; W. Wu; B. Buter; K. Makinwa; M. Pertijs;
    In NXP Low-Power Design Conference,
    NXP, June 2017.
    Abstract: ... This paper presents an area- and energy-efficient sensor readout circuit, which can precisely digitize temperature, capacitance and voltage. The three modes use only on-chip references and employ a shared zoom ADC based on SAR and ΔΣ conversion to save die area. Measurements on 24 samples from a single wafer show a temperature inaccuracy of ±0.2 °C (3σ) over the military temperature range (-55°C to 125°C). The voltage sensing shows an inaccuracy of ±0.5\%. The sensor also offers 18.7-ENOB capacitance-to-digital conversion, which handles up to 3.8 pF capacitance with a 0.76 pJ/conv.-step energy-efficiency FoM. It occupies 0.33 mm² in a 0.16 μm CMOS process and draws 4.6 μA current from a 1.8 V supply.

  241. A Compact Sensor Readout Circuit with Combined Temperature, Capacitance and Voltage Sensing Functionality
    B. Yousefzadeh; W. Wu; B. Buter; K. A. A. Makinwa; M. Pertijs;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 1‒2, June 2017. DOI: 10.23919/VLSIC.2017.8008555
    Abstract: ... This paper presents an area- and energy-efficient sensor readout circuit, which can precisely digitize temperature, capacitance and voltage. The three modes use only on-chip references and employ a shared zoom ADC based on SAR and ΔΣ conversion to save die area. Measurements on 24 samples from a single wafer show a temperature inaccuracy of ±0.2 °C (3σ) over the military temperature range (-55°C to 125°C). The voltage sensing shows an inaccuracy of ±0.5\%. The sensor also offers 18.7-ENOB capacitance-to-digital conversion, which handles up to 3.8 pF capacitance with a 0.76 pJ/conv.-step energy-efficiency FoM. It occupies 0.33 mm² in a 0.16 μm CMOS process and draws 4.6 μA current from a 1.8 V supply.

  242. An energy-efficient readout method for piezoresistive differential pressure sensors
    Jiang, Hui; Makinwa, Kofi A. A.; Nihitanov, Stoyan;
    In IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society,
    pp. 4287-4291, 2017. DOI: 10.1109/IECON.2017.8216736

  243. A resistor-based temperature sensor with a 0.13pJ·K2 resolution FOM
    Pan, Sining; Luo, Yanquan; Shalmany, Saleh Heidary; Makinwa, Kofi A. A.;
    In 2017 IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 158-159, 2017. DOI: 10.1109/ISSCC.2017.7870309

  244. A 10kHz-BW 93.7dB-SNR Chopped ΔΣ ADC with 30V Input CM Range and 115dB CMRR at 10kHz
    L. Xu; J.H. Huijsing; K.A.A. Makinwa;
    In 2017 IEEE Asian Solid-State Circuits Conference,
    pp. 49-52, 2017. DOI: 10.1109/ASSCC.2017.8240213

  245. A 28 nm 2 GS/s 5-b Low-latency SAR ADC with gm-boosted StrongARM Comparator
    P. Cenci; M. Bolatkale; R. Rutten; G. Lassche; K. Makinwa; L. Breems;
    In European Solid-State Circuits Conference (ESSCIRC),
    pp. 171-174, 2017. DOI: 10.1109/ESSCIRC.2017.8094553

  246. A Frequency-Locked Loop Based on an Oxide Electrothermal Filter in Standard CMOS
    L. Pedala; C. Gurleyuk; S. Pan; F. Sebastiano; K. Makinwa;
    In European Solid-State Circuits Conference (ESSCIRC),
    Leuven, Belgium, pp. 7-10, 9 2017. DOI: 10.1109/esscirc.2017.8094512

  247. A Compact Sensor Readout Circuit with Combined Temperature, Capacitance and Voltage Sensing Functionality
    B. Yousefzadeh; W. Wu; B. Buter; K. A. A. Makinwa; M. Pertijs;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 1‒2, June 2017. DOI: 10.23919/VLSIC.2017.8008555
    Abstract: ... This paper presents an area- and energy-efficient sensor readout circuit, which can precisely digitize temperature, capacitance and voltage. The three modes use only on-chip references and employ a shared zoom ADC based on SAR and ΔΣ conversion to save die area. Measurements on 24 samples from a single wafer show a temperature inaccuracy of ±0.2 °C (3σ) over the military temperature range (-55°C to 125°C). The voltage sensing shows an inaccuracy of ±0.5\%. The sensor also offers 18.7-ENOB capacitance-to-digital conversion, which handles up to 3.8 pF capacitance with a 0.76 pJ/conv.-step energy-efficiency FoM. It occupies 0.33 mm² in a 0.16 μm CMOS process and draws 4.6 μA current from a 1.8 V supply.

  248. A 9.1 mW inductive displacement-to-digital converter with 1.85 nm resolution
    V. Chaturvedi; J. G. Vogel; K. A. A. Makinwa; S. Nihtianov;
    In 2017 Symposium on VLSI Circuits,
    pp. C80-C81, June 2017. DOI: 10.23919/vlsic.2017.8008556

  249. A CMOS Temperature Sensor with a 49fJ·K2 Resolution FoM
    S. Pan; H. Jiang; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. C82-C83, 6 2017. DOI: 10.23919/vlsic.2017.8008557

  250. A Capacitively-Degenerated 100dB Linear 20-150MS/s Dynamic Amplifier
    M. S. Akter; K.A.A. Makinwa; K. Bult;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    pp. C136-C137, 6 2017. DOI: 10.23919/vlsic.2017.8008459

  251. Optimum Synchronous Phase Detection and its Application in Smart Sensor Interfaces
    S. Pan; K.A.A. Makinwa;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    pp. 1-4, June 2017. DOI: 10.1109/iscas.2017.8050417

  252. Chopping in Continuous-Time Sigma-Delta Modulators
    H. Jiang; B. Gonen; K.A.A. Makinwa; S. Nihtianov;
    In IEEE International Symposium on Circuits and Systems (ISCAS),
    pp. 1-4, June 2017. DOI: 10.1109/iscas.2017.8050951

  253. A BJT-Based Temperature Sensor with a Packaging-Robust Inaccuracy of ±0.3°C (3σ) from -55°C to +125°C After Heater-Assisted Voltage Calibration
    B. Yousefzadeh; K.A.A. Makinwa;
    In IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 162-163, February 2017. DOI: 10.1109/ISSCC.2017.7870311

  254. An energy-efficient 3.7nV/ sqrtHz bridge-readout IC with a stable bridge offset compensation scheme
    H. Jiang; K. A. A. Makinwa; S. Nihtianov;
    In Proc. IEEE International Solid-State Circuits Conference (ISSCC),
    pp. 172-173, Feb 2017. DOI: 10.1109/ISSCC.2017.7870316

  255. A Hybrid ADC for High Resolution: The Zoom ADC
    B. Gönen; F. Sebastiano; R. van Veldhoven; K.A.A. Makinwa;
    In Harpe, Pieter; Makinwa, Kofi A. A.; Baschirotto, Andrea (Ed.), Proc. Advances in Analog Circuit Design Workshop (AACD),
    Cham, Springer International Publishing, pp. 99--117, April 2017. DOI: 10.1007/978-3-319-61285-0_6
    Abstract: ... This paper presents a dynamic zoom ADC for audio applications. It achieves 109-dB DR, 106-dB SNR, and 103-dB SNDR in a 20-kHz bandwidth, while dissipating 1.12 mW and occupying only 0.16 mm2 in 0.16-$\mu$m CMOS. This translates to state-of-the-art energy and area efficiency. In this paper, the system- and circuit-level design of the ADC will be presented.

  256. Energy-Efficient High-Resolution Resistor-Based Temperature Sensors
    S. Pan; K.A.A. Makinwa;
    In Harpe, Pieter; Makinwa, Kofi A. A.; Baschirotto, Andrea (Ed.), Proc. Advances in Analog Circuit Design Workshop (AACD),
    Cham, Springer International Publishing, pp. 183--200, April 2017. DOI: 10.1007/978-3-319-61285-0_10
    Abstract: ... This paper presents two high-resolution CMOS temperature sensors intended for the temperature compensation of MEMS/quartz frequency references. One is based on a Wien bridge RC filter, which outputs a temperature-dependent phase shift when driven by a stable frequency; the other is based on a Wheatstone bridge, which outputs a temperature-dependent current. The bridge outputs are digitized by energy-efficient continuous-time delta-sigma modulators. Two prototypes were fabricated in a standard 0.18 $\mu$m CMOS technology. Both dissipate less than 200 $\mu$W and achieve sub-mK resolution, as well as sub-0.2pJ{\textperiodcentered}K2 resolution FoMs, which corresponds to state-of-the-art energy efficiency.

  257. Ratiometric device
    Z. Cai; M. A. P. Pertijs; R. H. M. van Veldhoven; K. A. A. Makinwa;
    Patent, United States 9,835,575B2, December 2017.

  258. A ±5A Integrated Current-Sensing System with ±0.3% Gain Error and 16μA Offset from −55°C to +85°C
    S.H. Shalmany; D. Draxelmayr; K.A.A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 51, Issue 4, pp. 800-808, 2016. DOI: 10.1109/JSSC.2015.2511168

  259. A VCO Based Highly Digital Temperature Sensor With 0.034°C/mV Supply Sensitivity
    T. Anand; K.A.A. Makinwa; P.K. Hanumolu;
    IEEE Journal of Solid-State Circuits,
    Volume 51, Issue 11, pp. 2651-2663, 2016. DOI: 10.1109/jssc.2016.2598765

  260. A Ratiometric Readout Circuit for Thermal-Conductivity-Based Resistive CO$_2$ Sensors
    Z. Cai; R. H. M. van Veldhoven; A. Falepin; H. Suy; E. Sterckx; C. Bitterlich; K. A. A. Makinwa; M. A. P. Pertijs;
    IEEE Journal of Solid-State Circuits,
    Volume 51, Issue 10, pp. 2453‒2474, October 2016. DOI: 10.1109/jssc.2016.2587861
    Abstract: ... This paper reports a readout circuit for a resistive CO2 sensor, which operates by measuring the CO2-dependent thermal conductivity of air. A suspended hot-wire transducer, which acts both as a resistive heater and temperature sensor, exhibits a CO2-dependent heat loss to the surrounding air, allowing CO2 concentration to be derived from its temperature rise and power dissipation. The circuit employs a dual-mode incremental delta-sigma ADC to digitize these parameters relative to those of an identical, but isolated, reference transducer. This ratiometric approach results in a measurement that does not require precision voltage or power references. The readout circuit uses dynamically-swapped transducer pairs to cancel their baseline-resistance, so as to relax the required dynamic range of the ADC. In addition, dynamic element matching (DEM) is used to bias the transducer pairs at an accurate current ratio, making the measurement insensitive to the precise value of the bias current. The readout circuit has been implemented in a standard 0.16 μm CMOS technology. With commercial resistive micro-heaters, a CO2 sensing resolution of about 200 ppm (1σ) was achieved in a measurement time of 30 s. Similar results were obtained with CMOS-compatible tungsten-wire transducers, paving the way for fully-integrated CO2 sensors for air-quality monitoring.

  261. Wideband Continuous-time Σ∆ ADCs, Automotive Electronics, and Power Management: Advances in Analog Circuit Design 2016
    A. Baschirotto; P. Harpe; K.A.A. Makinwa;
    Springer, , 2016.

  262. Wideband Continuous-time Σ∆ ADCs, Automotive Electronics, and Power Management: Advances in Analog Circuit Design 2016
    A. Baschirotto; P. Harpe; K.A.A. Makinwa;
    Springer, , 2016.

  263. A Micro-Power Temperature-to-Digital Converter for Use in a MEMS-Based 32 kHz Oscillator
    S. Zaliasl; J. Salvia; T. Fiez; K.A.A. Makinwa; A. Partridge; V. Menon;
    Switzerland: Springer, , 2016.

  264. Advances in Low-Offset Opamps
    Qinwen Fan; J.H. Huising; K.A.A. Makinwa;
    Switzerland: Springer, , 2016.

  265. A 1.65mW 0.16mm² Dynamic Zoom-ADC with 107.5dB DR in 20kHz BW
    B. Gönen; F. Sebastiano; van R. Veldhoven; K.A.A. Makinwa;
    In 2016 IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 282-283, Feb 2016. DOI: 10.1109/isscc.2016.7418017

  266. An Integrated Carbon Dioxide Sensor for Air-Quality Monitoring
    Z. Cai; R. H. M. van Veldhoven; A. Falepin; H. Suy; E. Sterckx; C. Bitterlich; K. A. A. Makinwa; M. A. P. Pertijs;
    In Proc. Conference for ICT-Research in the Netherlands (ICT.OPEN),
    The Netherlands, March 2016.

  267. An Oxide Electrothermal Filter in Standard CMOS
    L. Pedalà; U. Sönmez; F. Sebastiano; K.A.A. Makinwa; K. Nagaraj; J. Park;
    In 2016 IEEE Sensors,
    Orlando, FL, USA, pp. 343-345, November 2016. DOI: 10.1109/icsens.2016.7808512

  268. A Hybrid Multi-path CMOS Magnetic Sensor with 210µTrms Resolution and 3MHz Bandwidth for Contactless Current Sensing
    J. Jiang; K.A.A. Makinwa;
    In 2016 IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 204-205, Feb 2016. DOI: 10.1109/ISSCC.2016.7417978

  269. A Microcontroller with 96% Power-Conversion Efficiency using Stacked Voltage Domains
    B. Blutman; A. Kapoor; A. Majumdar; J.G. Martinez; J. Echeverri; L. Sevat; A. van der Wel; H. Fatemi; J.P. de Gyvez; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 1-2, June 2016. DOI: 10.1109/vlsic.2016.7573478

  270. 1650µm² Thermal-Diffusivity Sensors with Inaccuracies Down to ±0.75°C in 40nm CMOS
    U. Sonmez; F. Sebastiano; K.A.A. Makinwa;
    In 2016 IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 206-207, Feb 2016. DOI: 10.1109/ISSCC.2016.7417979

  271. A ± 36A Integrated Current-Sensing System with 0.3% Gain Error and 400μA Offset from −55°C to +85°C
    S.H. Shalmany; D. Draxelmayr; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 1-2, June 2016. DOI: 10.1109/vlsic.2016.7573493

  272. A BJT-based Temperature-to-Digital Converter with ±60mK (3σ) Inaccuracy from -70°C to 125°C in 160nm CMOS
    B. Yousefzadeh; S.H. Shalmany; K.A.A. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 1-2, June 2016. DOI: 10.1109/vlsic.2016.7573531

  273. A hybrid multi-path CMOS magnetic sensor with 76 ppm/°C sensitivity drift
    J. Jiang; K.A.A. Makinwa;
    In European Solid-State Circuits Conference, ESSCIRC Conference 2016: 42nd,
    IEEE, pp. 397-400, Sep 2016. DOI: 10.1109/esscirc.2016.7598325

  274. Phase-domain digitizer
    K.A.A. Makinwa; R. Quan;
    Patent, 9,276,792, March 1 2016.

  275. Efficient Analog to Digital Converter
    B. Gönen; F. Sebastiano; K.A.A. Makinwa; R.H.M. van Veldhoven;
    Patent, 9,325,340, April 26 2016.

  276. Efficient analog to digital converter
    Burak Gönen; Fabio Sebastiano; Kofi A. A. Makinwa; Robert H. M. van Veldhoven;
    Patent, 9325340, April 2016.

  277. Fast-Settling Capacitive-Coupled Amplifiers
    J.H. Huijsing; Qinwen Fan; K.A.A. Makinwa; D. Fu; J. Wu; L. Zhou;
    Patent, 9,294,049, March 22 2016.

  278. A 3 ppm 1.5 x 0.8 mm2 1.0 µA 32.768 kHz MEMS-based oscillator
    S. Zaliasl; J.C. Salvia; G.C. Hill; L. Chen; K. Joo; R. Palwai; N. Arumugam; M. Phadke; S. Mukherjee; HC Lee; C Grosjean; PM Hagelin; S Pamarti; TS Fiez; K.A.A. Makinwa; A. Partridge; V. Menon;
    IEEE Journal of Solid State Circuits,
    Volume 50, Issue 1, pp. 291-302, 2015. Available online 3-11-2014.

  279. A thermistor-based temperature sensor for a real-time clock with ±2 ppm frequency stability
    P. Park; D. Ruffieux; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 50, Issue 7, pp. 1571-1580, 2015. Available online 20-4-2015.

  280. A 15-Channel digital active electrode system for multi-parameter biopotential measurement
    J. Xu; B. Busze; C. van Hoof; K.A.A. Makinwa; R.F. Yazicioglu;
    IEEE Journal of Solid State Circuits,
    Volume 50, Issue 9, pp. 2090-2100, 2015. Available online 1-5-2015.

  281. Efficient sensor interfaces, advanced amplifiers and low power RF systems: Advances in analog circuit design 2015
    K.A.A. Makinwa;
    Springer, , 2015.

  282. High-performance AD and DA converters, IC design in scaled technologies, and time-domain signal processing: Advances in analog circuit design 2014
    K.A.A. Makinwa;
    Spriger, Volume Analog Circuit Design ser , 2015. 23rd workshop on Advances in Analog Circuit Design (AACD) Lisbon, Portugal, in April 8¿10, 2014.

  283. A ratiometric readout circuit for thermal-conductivity-based resistive gas sensors
    Z. Cai; R. H. M. van Veldhoven; A. Falepin; H. Suy; E. Sterckx; K. A. A. Makinwa; M. A. P. Pertijs;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    IEEE, pp. 275‒278, September 2015. DOI: 10.1109/esscirc.2015.7313880

  284. A 0.02mm2 Embedded Temperature Sensor with ±2°C Inaccuracy for Self-Refresh Control in 25nm Mobile DRAM
    Y.Y. Kim; W. Choi; J. Kim; S. Lee; S Lee; H. Kim; K.A.A. Makinwa; Y. Chae; TW Kim;
    In W Pribyl; F Dielacher; G Hueber (Ed.), Proc. European Solid-State Circuits Conference (ESSCIRC),
    IEEE, pp. 267-270, 2015.

  285. A 110dB SNR ADC with ±30V input common-mode range and 8μV Offset for current sensing applications
    L. Xu, B. Gonen, Q. Fan, J.H. Huijsing; K.A.A. Makinwa;
    In Digest of Technical Papers - 2015 IEEE International Solid-state Circuits Conference,
    San Francisco, CA, pp. 90 - 93, Feb 2015.

  286. A multi-path CMOS Hall sensor with integrated ripple reduction loops
    J. Jiang; K.A.A. Makinwa;
    In F Zhang (Ed.), Proceedings of the IEEE Asian Solid-State Circuits Conference,
    IEEE, pp. 1-4, 2015. harvest.

  287. A Self-referenced VCO-based Temperature Sensor with 0.034°C /mV Supply Sensitivity in 65nm CMOS
    T. Anand; K.A.A. Makinwa; P.K. Hanumolu;
    In M Motomura (Ed.), Proceedings of the Symposium on VLSI Circuits,
    IEEE, pp. C200-C201, 2015.

  288. A Fully Integrated ±5A Current-Sensing System with ±0.25% Gain Error and 12uA Offset from -40°C to +85°C
    S. Heidary Shalmany; G. Beer; D. Draxelmayr; K.A.A. Makinwa;
    In M Motomura (Ed.), Proceedings of the Symposium on VLSI Circuits,
    IEEE, pp. C298-C299, 2015.

  289. An integrated carbon dioxide sensor based on ratiometric thermal-conductivity measurement
    Z. Cai; van R. H. M. Veldhoven; A. Falepin; H. Suy; E. Sterckx; K. A. A. Makinwa; M. A. P. Pertijs;
    In Proc. International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS),
    IEEE, pp. 622‒625, June 2015. DOI: 10.1109/transducers.2015.7181000

  290. A generic read-out circuit for resistive transducers
    B. Yousefzadeh; U. Sonmez; N. Mehta; J. Borremans; M. A. P. Pertijs; K. A. A. Makinwa;
    In Proc. IEEE International Workshop on Advances in Sensors and Interfaces (IWASI),
    IEEE, pp. 122‒125, June 2015. DOI: 10.1109/iwasi.2015.7184929

  291. A 110dB SNR ADC with ±30V input common-mode range and 8¿V Offset for current sensing applications
    L. Xu; B. Gönen; Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In M Romdhane; LC Fujino; J Anderson (Ed.), Proceedings of the 2015 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 89-91, 2015. Harvest Session 5.2.

  292. A 2800-µm² Thermal-Diffusivity Temperature Sensor with VCO-Based Readout in 160-nm CMOS
    Jan Angevare; Lorenzo Pedalà; Ugur Sonmez; Fabio Sebastiano; Kofi A.A. Makinwa;
    In Asian Solid-state Circuits Conference Digest of Technical Papers,
    Xiamen, China, pp. 1-4, Nov 2015. DOI: 10.1109/ASSCC.2015.7387444
    Keywords: ... CMOS digital integrated circuits;analogue-digital conversion;computerised monitoring;digital readout;temperature sensors;thermal diffusivity;voltage-controlled oscillators;VCO-based phase-domain ADC;VCO-based readout;bulk silicon;digital circuitry;highly digital temperature sensor;microprocessors;size 160 nm;standard CMOS process;systems-on-chip;temperature -35 degC to 125 degC;temperature-dependent thermal diffusivity;thermal monitoring;CMOS integrated circuits;CMOS process;Heating;Radiation detectors;Temperature measurement;Temperature sensors.

    Abstract: ... A highly digital temperature sensor based on the temperature-dependent thermal diffusivity of bulk silicon has been realized in a standard 160-nm CMOS process. The sensor achieves an inaccuracy of �2.9�C (3a) from -35�C to 125�C with no trimming and �1.2�C (3a) after a single-point trim, while achieving a resolution of 0.47�C (rms) at 1 kSa/s. Its compact area (2800 �m2) is enabled by the adoption of a VCO-based phase-domain ADC. Since 53% of the sensor area is occupied by digital circuitry, the sensor can be easily ported to more advanced CMOS technologies with further area reduction, which makes it well suited for thermal monitoring in microprocessors and other systems-on-chip.

  293. A 25mW Smart CMOS Wind Sensor with Corner Heaters
    Wouter Brevet; Fabio Sebastiano; Kofi A.A. Makinwa;
    In 41st Annual Conference of IEEE Industrial Electronics Society,
    Yokohama, Japan, pp. 001194-001199, Nov 2015. DOI: 10.1109/IECON.2015.7392262
    Keywords: ... CMOS integrated circuits;heating;intelligent sensors;wind power;wires (electric);corner heater;logic on-chip;power 25 mW;sensor bitstream output off-chip decimation;sensor chip thermal design;size 0.7 mum;smart CMOS thermal wind sensor;standard CMOS process;Clocks;Frequency modulation;Heating;Thermal sensors;Wind speed;Electrothermal filter (ETF);Smart wind sensor;Thermal sensors;thermal sigma-delta modulatiom.

    Abstract: ... A smart CMOS thermal wind sensor has been optimized for commercial use. Optimizing the sensor chip's thermal design resulted in better area efficiency and improved thermal dynamics with respect to prior work. The latter simplifies the off-chip decimation of the sensor's bitstream outputs. Moreover, by realizing more logic on-chip, the number of bond wires has been reduced by 33%, to 8, thus reducing manufacturing costs. Fabricated in a standard 0.7�m CMOS process, the sensor chip occupies 4�4mm2 and consumes 25mW of heating power, while achieving an inaccuracy of �6% (speed) and �2� (direction), for wind speeds between 4 and 25m/s.

  294. Fully Capacitive Coupled Input Choppers
    J.H. Huijsing, Q. Fan; K.A.A. Makinwa;
    Patent, US 9,143,092, September 2015.

  295. Metal Shunt Resistor
    D. Draxelmayr; S. Shalmany; K. Makinwa;
    Patent, 20170074912, 9 2015.

  296. A continuous-time ripple reduction technique for spinning-current Hall sensors
    J. Jiang; W.J. Kindt; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 49, Issue 7, pp. 1525-1534, 2014. Harvest.

  297. A wearable 8-channel active-electrode EEG/ETI acquisition system for body area networks
    J. Xu; S. Mitra; A. Matsumoto; S. Patki; C. van Hoof; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 49, Issue 9, pp. 2005-2016, 2014. Harvest Available online 12-6-2014.

  298. Recoding of the stop codon UGA to glycine by a BD1-5/SN-2 bacterium and niche partitioning between Alpha- and Gammaproteobacteria in a tidal sediment microbial community naturally selected in a laboratory chemostat
    A. Hanke; E. Hamann; R. Sharma; J. Geelhoed; T. Hargesheimer; B. Kraft; V. Meyer; S. Lenk; H Osmers; R. Wu; K.A.A. Makinwa; RL Hettich; JF Banfield; HE Tegetmeyer; Marc Strous;
    Frontiers in Microbiology,
    Volume 5, Issue art. 231, pp. 1-17, 2014.

  299. Smart sensor systems: Emerging technologies and applications
    G. Meijer; K. Makinwa; M. Pertijs;
    John Wiley \& Sons, , 2014.
    Abstract: ... With contributions from an internationally-renowned group of experts, this book uses a multidisciplinary approach to review recent developments in the field of smart sensor systems, covering important system and design aspects. It examines topics over the whole range of sensor technology from the theory and constraints of basic elements, physics and electronics, up to the level of application-orientated issues.

    document

  300. Time-Domain Techniques for mm-Wave Frequency Generation
    K.A.A. Makinwa;
    P. Harpe; A Baschirotto; K.A.A. Makinwa (Ed.);
    Springer, , pp. 341-360, 2014.

  301. Time-Domain Signal Processing
    K.A.A. Makinwa;
    P. Harpe; A Baschirotto; K.A.A. Makinwa (Ed.);
    Springer, , pp. 297-298, 2014.

  302. A BJT-based CMOS temperature sensor with a 3.6pJ·K2-resolution FoM
    A. Heidary; Guijie Wang; K.A.A. Makinwa; G.C.M. Meijer;
    In LC Fujino; {Anderson et al}, J (Ed.), Digest of Technical Papers - 2014 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 224-225, 2014. Harvest Session 12. Sensors, Mems, and Displays 12.8.

  303. A resistor-based temperature sensor for a real time clock with ±2ppm frequency stability
    P. Park; K.A.A. Makinwa; D. Ruffieux;
    In P Andreani; A Bevilacqua; G Meneghesso (Ed.), Proceedings of the 40th European Solid-State Circuit Conference,
    IEEE, pp. 391-394, 2014. Harvest.

  304. A 60nV/Hz 15-channel digital active electrode system for portable biopotential signal acquisition
    J. Xu; B. Busze; H. Kim; K.A.A. Makinwa; C. van Hoof; R.F. Yazicioglu;
    In LC Fujino; J Anderson; D Dunwell; V Gaudet; G Gulak; J Haslett; S Mirabbasi; K Pagiamtzis; KC. Smith (Ed.), Digest of Technical papers - 2014 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 424-425, 2014. Harvest Session 24. Integrated Biomedical Systems 24.7.

  305. A 0.85V 600nW All-CMOS temperature sensor with an inaccuracy of ±0.4°C (3σ) from -40 to 125°C
    K. Souri; Y. Chae; F. Thus; K.A.A. Makinwa;
    In LC Fujino; J Anderson; D Dunwell; V Gaudet; G Gulak; J Haslett; S Mirabbasi; K Pagiamtzis; KC. Smith (Ed.), Digest of Technical papers - 2014 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 222-223, 2014. Harvest Session 12. Sensors, Mems, and Displays 12.7.

  306. A 1.55×0.85mm2 3ppm 1.0μA 32.768kHz MEMS-based oscillator
    S.Z. Asl; S. Mukherjee; W. Chen; Kimo Joo; R. Palwai; N. Arumugam; P. Galle; M. Phadke; C Grosjean; J.C. Salvia; H Lee; S Pamarti; TS Fiez; K.A.A. Makinwa; A. Partridge; V. Menon;
    In LC Fujino; J Anderson; {et al} (Ed.), Digest of Technical Papers - 2014 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 226-227, 2014. Harvest Session 12. Sensors, MEMS and Displays 12.9.

  307. A 0.008-mm² area-optimized thermal-diffusivity-based temperature sensor in 160-nm CMOS for SoC thermal monitoring
    Ugur Sonmez; Rui Quan; Fabio Sebastiano; Kofi. A. A. Makinwa;
    In Proc. European Solid-State Circuits Conference,
    Venice, Italy, pp. 395-398, September22--26 2014. DOI: 10.1109/ESSCIRC.2014.6942105
    Keywords: ... CMOS integrated circuits;system-on-chip;temperature measurement;temperature sensors;thermal diffusivity;SoC thermal monitoring;area-optimized thermal-diffusivity-based temperature sensor;bulk silicon;microprocessors;size 160 nm;standard CMOS process;systems-on-chip;temperature-dependent thermal diffusivity;thermal monitoring;Accuracy;Heating;System-on-chip;Temperature measurement;Temperature sensors.

    Abstract: ... An array of temperature sensors based on the temperature-dependent thermal diffusivity of bulk silicon has been realized in a standard 160-nm CMOS process. The sensors achieve an inaccuracy of ±2.4 °C (3σ) from -40 to 125 °C with no trimming and ±0.65 °C (3σ) with a one temperature trim. Each sensor occupies 0.008 mm², and achieves a resolution of 0.21 °C (rms) at 1 kSa/s. This combination of accuracy, speed, and small size makes such sensors well suited for thermal monitoring in microprocessors and other systems-on-chip.

  308. ADC, a temperature sensor, a non-contact transponder, and a method of converting analog signals to digital signals
    K.A.A. Makinwa; K. Souri;
    Patent, US 8,665,130, March 2014.

  309. Multiple electrothermal-filter device
    K.A.A. Makinwa; C.P.L Van Vroonhoven;
    Patent, US 8,870,454, October 2014.

  310. A 256 pixel magnetoresistive biosensor microarray in 0.18 μm CMOS
    D.A. Hall; R.S. Gaster; K.A.A. Makinwa; S.X. Wang; B. Murmann;
    IEEE Journal of Solid State Circuits,
    Volume 48, Issue 5, pp. 1290-1301, 2013. Harvest.

  311. A 6.3 μW 20 bit incremental zoom-ADC with 6 ppm INL and 1 μV offset
    Y. Chae; K. Souri; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 48, Issue 12, pp. 3019-3027, 2013. Harvest.

  312. A CMOS temperature sensor with a voltage-calibrated inaccuracy of ±0.15°C (3σ) from -55 to 125°C
    K. Souri; Y. Chae; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 48, Issue 1, pp. 292-301, 2013. Published online Oktober 2012; printed version January 2013.

  313. Measurement and analysis of current noise in chopper amplifiers
    J. Xu; Qinwen Fan; J.H. Huijsing; C. van Hoof; R.F. Yazicioglu; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 48, Issue 7, pp. 1575-1584, 2013. Harvest.

  314. A Low-Power CMOS Smart Temperature Sensor with a Batch-Calibrated Inaccuracy of ±0.25°C (±3σ) from -70°C to 130°C
    A. Aita; M. Pertijs; K. Makinwa; J. Huijsing; G. Meijer;
    IEEE Sensors Journal,
    Volume 13, Issue 5, pp. 1840‒1848, May 2013. DOI: 10.1109/JSEN.2013.2244033
    Abstract: ... In this paper, a low-power CMOS smart temperature sensor is presented. The temperature information extracted using substrate PNP transistors is digitized with a resolution of 0.03°C using a precision switched-capacitor (SC) incremental ΔΣ A/D converter. After batch calibration, an inaccuracy of ±0.25°C (±3) from -70°C to 130°C is obtained. This represents a two-fold improvement compared to the state-of-the-art. After individual calibration at room temperature, an inaccuracy better than ±0.1°C over the military temperature range is obtained, which is in-line with the state-of-the-art. This performance is achieved at a power consumption of 65 μW during a measurement time of 100 ms, by optimizing the power/inaccuracy tradeoffs, and by employing a clock frequency proportional to absolute temperature. The latter ensures accurate settling of the SC input stage at low temperatures, and reduces the effects of leakage currents at high temperatures.

  315. Electrothermal Frequency References in Standard CMOS
    S.M. Kashmiri; K.A.A. Makinwa;
    Springer Verlag, in Analog Circuits and Sinal Processing, 2013.

  316. Precision Instrumentation Amplifiers and Read-Out Integrated Circuits
    R. Wu; J.H. Huijsing; K.A.A. Makinwa;
    Springer New York, in Analog Circuits and Sinal Processing, 2013. Published as e-book in 2012; printed version 2013.

  317. Mobility-based Time References for Wireless Sensor Networks
    Fabio Sebastiano; Lucien J. Breems; Kofi A.A. Makinwa;
    Springer, , 2013.
    Abstract: ... This book describes the use of low-power low-cost and extremely small radios to provide essential time reference for wireless sensor networks. The authors explain how to integrate such radios in a standard CMOS process to reduce both cost and size, while focusing on the challenge of designing a fully integrated time reference for such radios. To enable the integration of the time reference, system techniques are proposed and analyzed, several kinds of integrated time references are reviewed, and mobility-based references are identified as viable candidates to provide the required accuracy at low-power consumption. Practical implementations of a mobility-based oscillator and a temperature sensor are also presented, which demonstrate the required accuracy over a wide temperature range, while drawing 51-µW from a 1.2-V supply in a 65-nm CMOS process.

  318. A 0.25mm2 AC-biased MEMS microphone interface with 58dBA SNRt
    S. Ersoy, R. van Veldhoven, F. Sebastiano, K. Reimann; K.A.A. Makinwa;
    In A Chandrakasan; B. Nauta (Ed.), Digest of Technical Papers - 2013 IEEE International Solid-State Circuits Conference (ISSCC 2013),
    IEEE, pp. 382-383, 2013. Harvest Session 15.

  319. A ±5A battery current sensor with ±0.04% gain error from -55°C to +125°C
    S. Heidary Shalmany; K.A.A. Makinwa; D. Draxelmayr;
    In {De Venuto et al}, D (Ed.), Proceedings 2013 5th IEEE International Workshop on Advances in Sensors and Interfaces,
    IEEE, pp. 117-120, 2013.

  320. A micropower battery current sensor with ±0.03% (3σ) Inaccuracy from -40 to +85°C
    S. Heidary Shalmany; D. Draxelmayr; K.A.A. Makinwa;
    In A Chandrakasan; B. Nauta (Ed.), Digest of Technical Papers - 2013 IEEE International Solid-State Circuits Conference (ISSCC 2013),
    IEEE, pp. 386-387, 2013. Harvest Session 22.

  321. A 6.3μW 20b incremental zoom-ADC with 6ppm INL and 1μV offset
    Y. Chae; K. Souri; K.A.A. Makinwa;
    In A Chandrakasan; B. Nauta (Ed.), Digest of Technical Papers - 2013 IEEE International Solid-State Circuits Conference (ISSCC 2013),
    IEEE, pp. 276-277, 2013. Harvest Session 15.

  322. A resistor-based temperature sensor for MEMS frequency references
    M. Shahmohammadi; K. Souri; K.A.A. Makinwa;
    In S. Rusu; Y. Deval (Ed.), Proceedings 39th European Solid-State Circuits Conference,
    IEEE, pp. 225-228, 2013. Harvest.

  323. A continuous-time ripple reduction technique for spinning-current Hall sensors
    J. Jiang; K.A.A. Makinwa; W.J. Kindt;
    In S. Rusu; Y. Deval (Ed.), Proceedings 39th European Solid-State Circuits Conference,
    IEEE, pp. 217-220, 2013. Harvest.

  324. Minimum energy point tracking for sub-threshold digital CMOS circuits using an in-situ energy sensor
    N. Mehta; K.A.A. Makinwa;
    In CW. Chen; W Gao; J Vandewalle (Ed.), Proceedings - IEEE International Symposium on Circuits and Systems (ISCAS 2013),
    IEEE, pp. 570-573, 2013. Harvest Article number: 6571906.

  325. A 40µW CMOS temperature sensor with an inaccuracy of ±0.4°C (3σ) from -55°C to 200°C
    K. Souri; K Souri; K.A.A. Makinwa;
    In S. Rusu; Y. Deval (Ed.), Proceedings 39th European Solid-State Circuits Conference,
    IEEE, pp. 221-224, 2013. Harvest.

  326. A multi-path chopper-stabilized capacitively coupled operational amplifier with 20V-input-common-mode range and 3μV offset
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In A Chandrakasan (Ed.), Digest of Technical Papers - 2013 IEEE International Solid-State Circuits Conference (ISSCC 2013),
    IEEE, pp. 176-177, 2013. Harvest Session 10.

  327. A 21 nV/√ Hz chopper-stabilized multi-path current-feedback instrumentation amplifier with 2 μ v offset
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 47, Issue 2, pp. 464-475, February 2012. Harvest Article number: 6112184.

  328. A 20-b ± 40-mV range read-out IC with 50-nV offset and 0.04% gain error for bridge transducers
    R. Wu; Y. Chae; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 47, Issue 9, pp. 2152-2163, September 2012. Harvest.

  329. An SOI thermal-diffusivity-based temperature sensor with ±0.6 °C (3σ) untrimmed inaccuracy from -70 °C to 225 °C
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    Sensors and Actuators A: Physical: an international journal devoted to research and development of physical and chemical transducers,
    Volume 188, pp. 66-74, 2012. harvest.

  330. A scaled thermal-diffusivity-based 16 MHz frequency reference in 0.16 μm CMOS
    S.M. Kashmiri; K. Souri; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 47, Issue 7, pp. 1535-1545, July 2012. Harvest Article number: 6216450.

  331. HermesE: A 96-channel full data rate direct neural interface in 0.13 μm CMOS
    H. Gao; R.M. Walker; P. Nuyujukian; K.A.A. Makinwa; K.V. Shenoy; B. Murmann; T.H.Y. Meng;
    IEEE Journal of Solid State Circuits,
    Volume 47, Issue 4, pp. 1043-1055, April 2012. Harvest Article number: 6158616.

  332. A capacitively-coupled chopper operational amplifier with 3μV Offset and outside-the-rail capability
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In Y. Deval; J-B Begueret; D Belot (Ed.), Proceedings 2012 38th European Solid-State Circuit Conference,
    IEEE, pp. 73-76, 2012.

  333. Measurement and analysis of input current noise in chopper amplifiers
    J. Xu; Qinwen Fan; J.H. Huijsing; C. van Hoof; R.F. Yazicioglu; K.A.A. Makinwa;
    In Y. Deval; J-B Begueret; D Belot (Ed.), Proceedings 2012 38th European Solid-State Circuit Conference,
    IEEE, pp. 81-84, 2012.

  334. A capacitively coupled chopper instrumentation amplifier with a ±30V common-mode range, 160dB CMRR and 5μV offset
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In L Fujino (Ed.), Digest of Technical Papers - 2012 IEEE International Solid-state Circuits Conference,
    IEEE, pp. 374-375, 2012. Harvest Article number: 6177045.

  335. Below-IC post-CMOS integration of thick MEMS on a thin-SOI platform using embedded interconnects
    V. Rajaraman; J.J. Koning; E. Ooms; G. Pandraud; K.A.A. Makinwa; H. Boezen;
    In 25th IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2012),
    Paris, France, IEEE, pp. 220-223, Jan. 2012. ISBN 978-978-1-4673-0325-5; DOI 10.1109/MEMSYS.2012.6170130.

  336. A capacitance-to-digital converter for displacement sensing with 17b resolution and 20μs conversion time
    S. Xia; K.A.A. Makinwa; S. Nihtianov;
    In L Fujino (Ed.), Proc. of the IEEE international solid-state circuits conference digest of technical papers,
    IEEE, pp. 198-199, 2012. Harvest Article number: 6176973.

  337. Below-IC post-CMOS integration of thick MEMS on a thin-SOI platform using embedded interconnects
    V. Rajaraman; J.J. Koning; E. Ooms; G. Pandraud; K.A.A. Makinwa; H. Boezen;
    In L Buchaillot; H Zappe (Ed.), Proceedings 2012 IEEE 25th International Conference on Micro Electro Mechanical Systems,
    IEEE, pp. 220-223, 2012. harvest Article number: 6170130.

  338. A ±0.4°C (3σ) -70 to 200°C time-domain temperature sensor based on heat diffusion in Si and SiO2
    C.P.L. van Vroonhoven; D. d'Aquino; K.A.A. Makinwa;
    In L Fujino (Ed.), Digest of Technical Papers - 2012 IEEE International Solid-state Circuits Conference,
    IEEE, pp. 204-206, February 2012. Harvest Article number: 6176976.

  339. A 20bit continuous-time ΣΔ modulator with a Gm-C integrator, 120dB CMRR and 15 ppm INL
    G. Singh; R. Wu; Y. Chae; K.A.A. Makinwa;
    In Y. Deval; J-B Begueret; D Belot (Ed.), Proceedings 2012 38th European Solid-State Circuit Conference,
    IEEE, pp. 385-388, 2012.

  340. A 700μW 8-channel EEG/contact-impedance acquisition system for dry-electrodes
    S. Mitra; J. Xu; A. Matsumoto; K.A.A. Makinwa; A. van Hoof; R.F. Yazicioglu;
    In A Amerasekera; M Nagata (Ed.), Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 68-69, 2012. Harvest.

  341. A CMOS temperature sensor with a voltage-calibrated inaccuracy of ±0.15°C (3σ) from -55 to 125°C
    K. Souri; Y. Chae; K.A.A. Makinwa;
    In L Fujino (Ed.), Digest of Technical Papers - 2012 IEEE International Solid-state Circuits Conference,
    IEEE, pp. 208-210, February 2012. Harvest Article number: 6176978.

  342. Current-feedback instrumentation amplifiers
    J.H. Huijsing, R. Wu; K.A.A. Makinwa;
    Patent, US 8,179,195, May 2012.

  343. A 1.8 µW 60 nV/√Hz Capacitively-Coupled Chopper Instrumentation Amplifier in 65 nm CMOS for Wireless Sensor Nodes
    Qinwen Fan; Fabio Sebastiano; Johan H. Huijsing; Kofi A.A. Makinwa;
    {IEEE} J. Solid-State Circuits,
    Volume 46, Issue 7, pp. 1534 - 1543, July 2011. DOI: 10.1109/JSSC.2011.2143610
    Keywords: ... CMOS integrated circuits;choppers (circuits);instrumentation amplifiers;wireless sensor networks;CMOS technology;CMRR;DC servo loop;PSRR;biopotential sensing;capacitively-coupled chopper instrumentation amplifier;chopping ripple;current 1.8 muA;electrode offset suppression;low-power precision instrumentation amplifier;noise efficiency factor;positive feedback loop;power 1.8 muW;rail-to-rail input common-mode range;ripple reduction loop;size 65 nm;voltage 1 V;wireless sensor nodes;Capacitors;Choppers;Impedance;Noise;Sensors;Topology;Wireless sensor networks;Bio-signal sensing;chopping;high power efficiency;low offset;low power;precision amplifier;wireless sensor nodes.

    Abstract: ... This paper presents a low-power precision instrumentation amplifier intended for use in wireless sensor nodes. It employs a capacitively-coupled chopper topology to achieve a rail-to-rail input common-mode range as well as high power efficiency. A positive feedback loop is employed to boost its input impedance, while a ripple reduction loop suppresses the chopping ripple. To facilitate bio-potential sensing, an optional DC servo loop may be employed to suppress electrode offset. The IA achieves 1 µV offset, 0.16% gain inaccuracy, 134 dB CMRR, 120 dB PSRR and a noise efficiency factor of 3.3. The instrumentation amplifier was implemented in a 65 nm CMOS technology. It occupies only 0.1 mm² chip area (0.2 mm² with the DC servo loop) and consumes 1.8 µA current (2.1 µA with the DC servo loop) from a 1 V supply.

  344. A single-trim CMOS bandgap reference with a 3σ inaccuracy of ±0.15% from -40°C to 125°C
    G. Ge; C. Zhang; G. Hoogzaad; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 46, Issue 11, pp. 2693-2701, November 2011.

  345. A 0.12 mm2 7.4 μ W micropower temperature sensor with an inaccuracy of ±0.2°C (3σ) from -30°C to 125°C
    K. Souri; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 46, Issue 7, pp. 1693-1700, July 2011.

  346. A 4 GHz continuous-time ΔΣ ADC with 70 dB DR and -74 dBFS THD in 125 MHz BW
    M. Bolatkale; L.J. Breems; R. Rutten; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 46, Issue 12, pp. 2857-2868, December 2011.

  347. A current-feedback instrumentation amplifier with a gain error reduction loop and 0.06% untrimmed gain error
    R. Wu; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 46, Issue 12, pp. 2794-2806, December 2011. NEO.

  348. A 160 μw 8-channel active electrode system for EEG monitoring
    J. Xu; R.F. Yazicioglu; B. Grundlehner; P. Harpe; K.A.A. Makinwa; C. van Hoof;
    IEEE Transactions on Biomedical Circuits and Systems,
    Volume 5, Issue 6, pp. 555-567, December 2011.

  349. A 65-nm CMOS temperature-compensated mobility-based frequency reference for Wireless Sensor Networks
    Fabio Sebastiano; Lucien J. Breems; Kofi Makinwa; Salvatore Drago; Domine M. W. Leenaerts; Bram Nauta;
    {IEEE} J. Solid-State Circuits,
    Volume 46, Issue 7, pp. 1544 - 1552, July 2011. DOI: 10.1109/JSSC.2011.2143630
    Keywords: ... CMOS integrated circuits;compensation;electron mobility;wireless sensor networks;MOS transistor;current 42.6 muA;electron mobility;mobility-based frequency reference;size 65 nm;temperature -55 degC to 125 degC;temperature-compensated CMOS frequency reference;two-point trim;voltage 1.2 V;wireless sensor networks;Accuracy;Frequency conversion;Oscillators;Temperature;Temperature measurement;Temperature sensors;Wireless sensor networks;CMOS integrated circuits;Charge carrier mobility;MOSFET;crystal-less clock;frequency reference;low voltage;sigma-delta modulation;smart sensors;temperature compensation;temperature sensors;ultra-low power;wireless sensor networks.

    Abstract: ... A temperature-compensated CMOS frequency reference based on the electron mobility in a MOS transistor is presented. Over the temperature range from -55 °C to 125 °C, the frequency spread of the complete reference is less than ±0.5% after a two-point trim and less than ±2.7% after a one-point trim. These results make it suitable for use in Wireless Sensor Network nodes. Fabricated in a baseline 65-nm CMOS process, the 150 kHz frequency reference occupies 0.2 mm² and draws 42.6 µA from a 1.2-V supply at room temperature.

  350. A Single-Temperature Trimming Technique for MOS-Input Operational Amplifiers Achieving 0.33μV/°C Offset Drift
    M. Bolatkale; M. A. P. Pertijs; W. J. Kindt; J. H. Huijsing; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 46, Issue 9, pp. 2099‒2107, September 2011. DOI: 10.1109/JSSC.2011.2139530
    Abstract: ... A MOS-input operational amplifier has a reconfigurable input stage that enables trimming of both offset and offset drift based only on single-temperature measurements. The input stage consists of a MOS differential pair, whose offset drift is predicted from offset voltage measurements made at well-defined bias currents. A theoretical motivation for this approach is presented and validated experimentally by characterizing the offset of pairs of discrete MOS transistors as a function of bias current and temperature. An opamp using the proposed single-temperature trimming technique has been designed and fabricated in a 0.5 μm BiCMOS process. After single-temperature trimming, it achieves a maximum offset of ± 30 μV and an offset drift of 0.33 μV/°C (3σ) over the temperature range of -40°C to +125°C.

  351. Input characteristics of a chopped multi-path current feedback instrumentation amplifier
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In {De Venuto}, D; L Benini (Ed.), 4th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI),
    IEEE, pp. 61-66, 2011.

  352. A novel SOI-MEMS �micro-swing� time-accelerometer operating in two time-based transduction modes for high sensitivity and extended range
    V. Rajaraman; B.S. Hau; L.A. Rocha; R.A. Dias; K.A.A. Makinwa; R. Dekker;
    In 16th International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS 2011),
    Beijing, China, pp. 2066-2069, Jun. 2011. ISBN 978-1-4577-0157-3; DOI 10.1109/TRANSDUCERS.2011.5969225.

  353. A 21-bit Read-Out IC Employing Dynamic Element Matching with 0.037% Gain Error
    R. Wu; J.H. Huijsing; K.A.A. Makinwa;
    In K-N Kim; S-I Liu (Ed.), 2011 IEEE Asian Solid-State Circuits Conference,
    IEEE, pp. 241-244, 2011.

  354. A Continuous-Time Sigma-Delta Modulator with a Gm-C Input Stage,120-dB CMRR and -87 dB THD
    Navid Sarhangnejad; R. Wu; Y. Chae; K.A.A. Makinwa;
    In K-N Kim; S-I Liu (Ed.), 2011 IEEE Asian Solid-State Circuits Conference (A-SSCC),
    IEEE, pp. 245-248, 2011.

  355. Ramp Calibration of Temperature Sensors
    K. Souri; K.A.A. Makinwa;
    In {De Venuto}, D; {L. Benini} (Ed.), 2011 IEEE 4th International Workshop on Advances in Sensors and Interfaces (IWASI),
    IEEE, pp. 67-70, 2011.

  356. A 36V Voltage-to-Current Converter with Dynamic Element Matching and Auto-Calibration for AC Ripple Reduction
    S. Bajoria; M.F. Snoeij; V. Schaffer; M.V. Ivanov; S. Wang; K.A.A. Makinwa;
    In H Tenhunen; M Aberg (Ed.), 2011 IEEE 37th European Solid-State Circuits Conference,
    IEEE, pp. 319-322, 2011.

  357. Introduction to the Special Issue on the 2010 IEEE International Solid-State Circuits Conference
    K. Arimoto; K. Takeuchi; T. Karnik; K.A.A. Makinwa; A. Burdett;
    In {Makinwa et al}, KAA (Ed.), Special Issue on the 2010 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 3-7, 2011. Inleiding ter introductie special issue.

  358. A GMR Spin-Valve Integrated into a Continuous Time Sigma-Delta Modulator for Quantitative, Real-Time Biosensing
    D.A. Hall; C. Chu; A. Dotey; R.S. Gaster; K.A.A. Makinwa; B. Murmann; S.X. Wang;
    In B Terris; C-R Chang; M-J Tung; B Liu; K Liu (Ed.), IEEE International Magnetics Conference (INTERMAG),
    IEEE, pp. -, 2011.

  359. Thermal Diffusivity Sensing: A New Temperature Sensing Paradigm
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    In R Patel; T Andre; A Khan (Ed.), 2011 IEEE Custom Integrated Circuits Conference,
    IEEE, pp. 1-6, 2011.

  360. A novel soi-mems "micro-swing" time-accelerometer operating in two time-based transduction modes for high sensitivity and extended range
    V. Rajaraman; B.S. Hau; L.A. Rocha; R.A. Dias; K.A.A. Makinwa; R. Dekker;
    In M. Bao; L-S Fan (Ed.), 16th International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS 2011),
    IEEE, pp. 2066-2069, 2011.

  361. A 96-channel full data rate direct neural interface in 0.13um CMOS
    R.M. Walker; H. Gao; P. Nuyujukian; K.A.A. Makinwa; K.V. Shenoy; T. Meng; B. Murmann;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 144‒145, June 2011.

  362. A 160μW 8-channel active electrode system for EEG monitoring
    J. Xu; R.F. Yazicioglu; P. Harpe; K.A.A. Makinwa; C. van Hoof;
    In A Chandrakasan; {Gass et al}, W (Ed.), Digest of Technical Papers - 2011 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 300-302, February 2011. NEO.

  363. A precision DTMOST-based temperature sensor
    K. Souri; Y. Chae; Y. Ponomarev; K.A.A. Makinwa;
    In H Schmidt; C Papavassiliou (Ed.), Proceedings 2011 European Solid-State Circuits Conference,
    IEEE, pp. 279-282, 2011.

  364. A 256 Channel Magnetoresistive Biosensor Microarray for Quantitative Proteomics
    D.A. Hall; R.S. Gaster; S.J. Osterfeld; K.A.A. Makinwa; S.X. Wang; B. Murmann;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 174‒175, June 2011.

  365. A 25mW Smart CMOS Sensor for Wind and Temperature Measurement
    J. Wu; C.P.L. van Vroonhoven; Y. Chae; K.A.A. Makinwa;
    In E Lewis; T Kenny (Ed.), Proceedings IEEE Sensors 2011,
    IEEE, pp. 1261-1264, 2011.

  366. A current-feedback instrumentation amplifier with a gain error reduction loop and 0.06% untrimmed gain error
    R. Wu; J.H. Huijsing; K.A.A. Makinwa;
    In A Chandrakasan; {Gass et al}, W (Ed.), Digest of Technical Papers - 2011 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 244-246, February 2011.

  367. A 4GHz CT Delta-Sigma ADC with 70dB DR and -74dBFS THD in 125MHz BW
    M. Bolatkale; L.J. Breems; R. Rutten; K.A.A. Makinwa;
    In A Chandrakasana; W Gass (Ed.), 2011 IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 470-472, 2011.

  368. A 21b ±40mV range read-out IC for bridge transducers
    R. Wu; J.H. Huijsing; K.A.A. Makinwa;
    In A Chandrakasan; {Gass et al}, W (Ed.), Digest of Technical Papers - 2011 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 110-111, February 2011. NEO.

  369. An SOI thermal-diffusivity-based temperature sensor with ±0.6°C (3σ) untrimmed inaccuracy from -70°C to 170°C
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    In S. Xia; M. Bao; L-S Fan (Ed.), 16th International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS 2011),
    IEEE, pp. 2887-2890, 2011.

  370. A 50mW CMOS wind sensor with ±4% speed and ±2° direction error
    J. Wu; Y. Chae; C.P.L. van Vroonhoven; K.A.A. Makinwa;
    In A Chandrakasan; {Gass et al}, W (Ed.), Digest of Technical Papers - 2011 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 106-108, February 2011.

  371. A scaled thermal-diffusivity-based frequency reference in 0.16 um CMOS
    S.M. Kashmiri; K. Souri; K.A.A. Makinwa;
    In H Tenhunen; M Aberg (Ed.), 37th European Soldi-State Circuits Conference 2011, (ESSCIRC),
    IEEE, pp. 503-506, 2011.

  372. Phase readout of thermal conductivity-based gas sensors
    C.P.L. van Vroonhoven; G. de Graaf; K.A.A. Makinwa;
    In {De Venuto}, D; L Benini (Ed.), 4th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI),
    IEEE, pp. 199-202, 2011.

  373. Effects of Packaging and Process Spread on a Mobility-Based Frequency Reference in 0.16-µm CMOS
    Fabio Sebastiano; Lucien J. Breems; Kofi Makinwa; Salvatore Drago; Domine M. W. Leenaerts; Bram Nauta;
    In Proc. European Solid-State Circuits Conference,
    Helsinki, Finland, pp. 511 - 514, September12-16 2011. DOI: 10.1109/ESSCIRC.2011.6044934
    Keywords: ... CMOS integrated circuits;MOSFET;ceramic packaging;electron mobility;low-power electronics;plastic packaging;reference circuits;wireless sensor networks;CMOS process;ceramic packages;electron mobility;frequency 50 kHz;low-voltage low-power circuit;mobility-based frequency reference;off-chip components;packaging;plastic packages;process spread;size 0.16 mum;temperature -55 degC to 125 degC;temperature 293 K to 298 K;thick-oxide MOS transistors;thin-oxide MOS transistors;voltage 1.2 V;wireless sensor networks;Accuracy;Ceramics;Oscillators;Plastics;Temperature distribution;Temperature measurement;Transistors.

    Abstract: ... In this paper, we explore the robustness of frequency references based on the electron mobility in a MOS transistor by implementing them with both thin-oxide and thick-oxide MOS transistors in a 0.16-µm CMOS process, and by testing samples packaged in both ceramic and plastic packages. The proposed low-voltage low-power circuit requires no off-chip components, making it suitable for applications requiring fully integrated solutions, such as Wireless Sensor Networks. Over the temperature range from -55 °C to 125 °C, its frequency spread is less than ±1% (3σ) after a one-point trim. Fabricated in a baseline 0.16-µm CMOS process, the 50 kHz frequency reference occupies 0.06 mm² and, at room temperature, its consumption with a 1.2-V supply is less than 17 µW.

  374. A ping-pong-pang current-feedback instrumentation amplifier with 0.04\% gain error
    S. Sakunia; F. Witte; M. Pertijs; K. Makinwa;
    In Dig. Techn. Paper IEEE Symposium on VLSI Circuits (VLSI),
    IEEE, pp. 60‒61, June 2011.
    Abstract: ... A ping-pong-pang auto-zeroed and chopped current-feedback instrumentation amplifier (CFIA) uses three dynamically-matched input stages to achieve 0.04\% gain error, a 2.5× improvement over prior art. Its 4 μV offset and 28 nV/√Hz noise are achieved at 3.5× less supply current than a comparable ping-pong auto-zeroed CFIA.

    document

  375. Synchronous phase detection circuit
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    Patent, US 8,013,636, September 2011.

  376. Oscillator based on thermal diffusion
    J.F. Witte; K.A.A. Makinwa;
    Patent, US 7,920,032, May 2011.

  377. Design, fabrication and characterization of a femto-farad capacitive sensor for pico-liter liquid monitoring
    J. Wei; C. Yue; M. van der Velden; Z.L. Chen; Z.W. Liu; K.A.A. Makinwa; P.M. Sarro;
    Sensors and Actuators A,
    Volume 162, Issue 2, pp. 406-417, 2010.

  378. Introduction to the Special Issue on the 2010 International Solid-State Circuits Conference
    K. Arimoto; K. Takeuchi; K.A.A. Makinwa; A. Burdett,;
    IEEE Journal of Solid State Circuits,
    Volume 46, Issue 1, pp. 3-7, 2010.

  379. Design, fabrication and characterization of a femto-farad capacitive sensor for pico-liter liquid monitoring
    J. Wei; C. Yue; M. van der Velden; T. Chen; Z.W. Liu; K.A.A. Makinwa; P.M. Sarro;
    Sensors and Actuators A: Physical: an international journal devoted to research and development of physical and chemical transducers,
    Volume 162, Issue 2, pp. 406-417, 2010.

  380. Design and modeling of a flexible contact mode piezoresistive detector for a time based acceleration sensing
    V. Rajaraman; Hau Bou sing; L.A. Rocha; P.J. French; K.A.A. Makinwa;
    Procedia Engineering,
    Volume 5, pp. 1063-1066, 2010.

  381. Introduction to the special issue on the 35th ESSCIRC
    Y. Deval; K.A.A. Makinwa; S. Rusu;
    IEEE Journal of Solid State Circuits,
    Volume 45, Issue 7, pp. 1270-1272, 2010.

  382. A 200 µA Duty-Cycled PLL for Wireless Sensor Nodes in 65 nm CMOS
    Salvatore Drago; Domine M.W. Leenaerts; Bram Nauta; Fabio Sebastiano; Kofi A.A. Makinwa; Lucien J. Breems;
    {IEEE} J. Solid-State Circuits,
    Volume 45, Issue 7, pp. 1305 - 1315, July 2010. DOI: 10.1109/JSSC.2010.2049458
    Keywords: ... CMOS integrated circuits;UHF integrated circuits;frequency synthesizers;low-power electronics;phase locked loops;wireless sensor networks;CMOS technology;DCPLL circuit;current 200 muA;duty-cycled PLL;frequency 300 MHz to 1.2 GHz;frequency error;low-power high-frequency synthesizer;size 65 nm;voltage 1.3 V;wireless sensor networks;wireless sensor nodes;Batteries;CMOS technology;Energy consumption;Frequency synthesizers;Integrated circuit technology;Jitter;Oscillators;Phase locked loops;Phase noise;Wireless sensor networks;CMOS;PLL;WSN;duty-cycle;frequency stability;frequency synthesizer;fully integrated;ultra-low-power;wireless sensor networks.

    Abstract: ... The design of a duty-cycled PLL (DCPLL) capable of burst mode operation is presented. The proposed DCPLL is a moderately accurate low-power high-frequency synthesizer suitable for use in nodes for wireless sensor networks (WSN). Thanks to a dual loop configuration, the PLL's total frequency error, once in lock, is less than 0.25% from 300 MHz to 1.2 GHz. It employs a fast start-up DCO which enables its operation at duty-cycles as low as 10%. Fabricated in a baseline 65 nm CMOS technology, the DCPLL circuit occupies 0.19 x 0.15 mm² and draws 200 µA from a 1.3 V supply when generating bursts of 1 GHz signal with a 10% duty-cycle.

  383. A 1.2-V 10-µW NPN-Based Temperature Sensor in 65-nm CMOS With an Inaccuracy of 0.2 °C (3σ) From -70 °C to 125 °C
    Fabio Sebastiano; Lucien J. Breems; Kofi Makinwa; Salvatore Drago; Domine M. W. Leenaerts; Bram Nauta;
    {IEEE} J. Solid-State Circuits,
    Volume 45, Issue 12, pp. 2591 - 2601, December 2010. DOI: 10.1109/JSSC.2010.2076610
    Keywords: ... CMOS integrated circuits;correlation methods;signal sampling;temperature sensors;CMOS;correlated double sampling;dynamic element matching;npn transistor;power 10 muW;size 65 nm;temperature -70 C to 125 C;temperature sensor;voltage 1.2 V;CMOS analog integrated circuits;CMOS process;Intelligent sensors;Sigma delta modulation;Temperature sensors;CMOS analog integrated circuits;sigma-delta modulation;smart sensors;temperature sensors.

    Abstract: ... An NPN-based temperature sensor with digital output has been realized in a 65-nm CMOS process. It achieves a batch-calibrated inaccuracy of (3σ) and a trimmed inaccuracy of (3σ) over the temperature range from -70 °C to 125 °C. This performance is obtained by the use of NPN transistors as sensing elements, the use of dynamic techniques, i.e., correlated double sampling and dynamic element matching, and a single room-temperature trim. The sensor draws 8.3 µA from a 1.2-V supply and occupies an area of 0.1 mm².

  384. A Thermal-Diffusivity-Based Frequency Reference in Standard CMOS With an Absolute Inaccuracy of ±0.1\% From -55°C to 125°C
    S. M. Kashmiri; M. A. P. Pertijs; K. A. A. Makinwa;
    IEEE Journal of Solid-State Circuits,
    Volume 45, Issue 12, pp. 2510‒2520, December 2010. DOI: 10.1109/JSSC.2010.2076343
    Abstract: ... An on-chip frequency reference exploiting the well-defined thermal-diffusivity (TD) of IC-grade silicon has been realized in a standard 0.7 μm CMOS process. A frequency-locked loop (FLL) locks the frequency of a digitally controlled oscillator (DCO) to the process-insensitive phase shift of an electrothermal filter (ETF). The ETF's phase shift is determined by its geometry and by the thermal diffusivity of bulk silicon (D). The temperature dependence of is compensated for with the help of die-temperature information obtained by an on-chip band-gap temperature sensor. The resulting TD frequency reference has a nominal output frequency of 1.6 MHz and dissipates 7.8 mW from a 5 V supply. Measurements on 16 devices show that it has an absolute inaccuracy of ±0.1\% (σ = ±0.05\%) over the military temperature range (-55°C to 125°C ), with a worst case temperature coefficient of ± 11.2 ppm/°C.

  385. Low-cost calibration techniques for smart temperature sensors
    M. A. P. Pertijs; A. L. Aita; K. A. A. Makinwa; J. H. Huijsing;
    IEEE Sensors Journal,
    Volume 10, Issue 6, pp. 1098‒1105, June 2010. DOI: 10.1109/jsen.2010.2040730
    Abstract: ... Smart temperature sensors generally need to be trimmed to obtain measurement errors below ±2°C. The associated temperature calibration procedure is time consuming and therefore costly. This paper presents two, much faster, voltage calibration techniques. Both make use of the fact that a voltage proportional to absolute temperature (PTAT) can be accurately generated on chip. By measuring this voltage, the sensor's actual temperature can be determined, whereupon the sensor can be trimmed to correct for its dominant source of error: spread in the on-chip voltage reference. The first calibration technique consists of measuring the (small) PTAT voltage directly, while the second, more robust alternative does so indirectly, by using an external reference voltage and the on-chip ADC. Experimental results from a prototype fabricated in 0.7 μm CMOS technology show that after calibration and trimming, these two techniques result in measurement errors (±3σ) of ±0.15°C and ±0.25°C, respectively, in a range from -55°C to 125°C.

  386. 12-bit accurate voltage-sensing ADC with curvature-corrected dynamic reference
    N. Saputra; M. A. P. Pertijs; K. A. A. Makinwa; J. H. Huijsing;
    Electronics Letters,
    Volume 46, Issue 6, pp. 397‒398, March 2010. DOI: 10.1049/el.2010.3337
    Abstract: ... A sigma-delta analogue-to-digital converter (ADC) with a dynamic voltage reference is presented that achieves 12-bit absolute accuracy over the extended industrial temperature range (-40 to 105°C). Temperature-dependent gain errors due to the reference's curvature are digitally corrected by adjusting the gain of the ADC's decimation filter. The required correction factor is obtained by first using the reference to make a temperature measurement, and then translating the result into a correction factor by means of a lookup table and a linear interpolator. Thus, a dynamic voltage reference is realised with a measured temperature drift of less than 1.7 ppm/°C. The ADC was fabricated in 0.7 μm CMOS technology and consumes 85 μA from a 2.5-5.5 V supply.

  387. Silicon carbide thin film encapsulation of planar thermo- electric infrared detectors for an IR microspectrometer
    V. Rajaraman; G. de Graaf; P.J. French; K.A.A. Makinwa; R.F. Wolffenbuttel;
    {van Honschoten}, J; H Verputten; H Groenland (Ed.);
    MME, , pp. 20-23, 2010.

  388. A 2.1 µW Area-Efficient Capacitively-Coupled Chopper Instrumentation Amplifier for ECG Applications in 65 nm CMOS
    Qinwen Fan; Fabio Sebastiano; Johan H. Huijsing; Kofi A.A. Makinwa;
    In Proc. Asian Solid-State Circuits Conference,
    Beijing, China, pp. 1 - 4, November8--10 2010. DOI: 10.1109/ASSCC.2010.5716624
    Keywords: ... CMOS integrated circuits;amplifiers;biomedical electrodes;choppers (circuits);electrocardiography;CMOS technology;DC servo loop;ECG application;area efficient chopper instrumentation amplifier;capacitive feedback network;capacitively coupled chopper instrumentation amplifier;electrocardiography;electrode-tissue interface;power 2.1 muW;switched capacitor integrator;Choppers;DSL;Earth Observing System;Electrocardiography;Impedance;Instruments;Noise.

    Abstract: ... This paper describes a capacitively-coupled chopper instrumentation amplifier for use in electrocardiography (ECG). The amplifier's gain is accurately defined by a capacitive feedback network, while a DC servo loop rejects the DC offset generated by the electrode-tissue interface. The high-pass corner frequency established by the servo loop is realized by an area-efficient switched-capacitor integrator. Additional feedback loops are employed to boost the amplifier's input-impedance to 80 MΩ and to suppress the chopper ripple. Implemented in a 65 nm CMOS technology, the amplifier draws 2.1 µA from a 1 V supply and occupies 0.2 mm².

  389. A 21nV/¿Hz chopper-stabilized multipath current-feedback instrumentation amplifier with 2µV offset
    Qinwen Fan; J.H. Huijsing; K.A.A. Makinwa;
    In H Hidaka; B. Nauta (Ed.), Digest of Technical Papers - 2010 IEEE International Solid-State Circuits Conference,
    IEEE, pp. 80-81, 2010.

  390. A 1.8µW 1-µV-offset capacitively-coupled chopper instrumentation amplifier in 65nm CMOS
    Qinwen Fan; Fabio Sebastiano; Johan H. Huijsing; Kofi A.A. Makinwa;
    In Proc. European Solid-State Circuits Conference,
    Sevilla, Spain, pp. 170 - 173, September14--16 2010. DOI: 10.1109/ESSCIRC.2010.5619902
    Keywords: ... CMOS integrated circuits;instrumentation amplifiers;CMOS;input impedance;noise efficiency factor;positive feedback loop;precision capacitively-coupled chopper instrumentation amplifier;rail-to-rail DC common-mode input range;ripple reduction loop;size 65 nm;Accuracy;Choppers;Impedance;Instruments;Noise;Resistors;Topology.

    Abstract: ... This paper describes a precision capacitively-coupled chopper instrumentation amplifier (CCIA). It achieves 1µV offset, 134dB CMRR, 120dB PSRR, 0.16% gain accuracy and a noise efficiency factor (NEF) of 3.1, which is more than 3x better than state-of-the-art. It has a rail-to-rail DC common-mode (CM) input range. Furthermore, a positive feedback loop (PFL) is used to boost the input impedance, and a ripple reduction loop (RRL) is used to reduce the ripple associated with chopping. The CCIA occupies only 0.1mm² in a 65nm CMOS technology. It can operate from a 1V supply, from which it draws only 1.8µA.

  391. Smart temperature sensors in standard CMOS
    K.A.A. Makinwa;
    In s.n (Ed.), Proceedings of Eurosensors XXIV,
    Elsevier, pp. 930-939, 2010.

  392. A thermal-diffusivity-based temperature sensor with an untrimmed inaccuracy of 0.2degess C (3 sigma) from -55 to 125 degrees C.
    C.P.L. van Vroonhoven; D. d'Aquino; K.A.A. Makinwa;
    In s.n. (Ed.), Digest of ISSCC,
    ISSCC, pp. 314-315, 2010.

  393. A single-trim CMOS bandgap reference with a 3-sigma inaccuracy of ±0.15% from ¿40°C to 125°C
    G. Ge; Ch Zhang; G. Hoogzaad; K.A.A. Makinwa;
    In LC Fujino (Ed.), 2010 IEEE International Solid-State Circuits Conference; Digest of technical papers (ISSCC) 2010,
    IEEE, pp. 78-79, 2010.

  394. A 0.12mm² 7.4µW micropower temperature sensor with an inaccuracy of 0.2°C(3-sigma) from -30°C to 125°C
    K. Souri; K.A.A. Makinwa;
    In {Guerra-Vinuesa et al}, O (Ed.), Unknown,
    ESSCIRC/ESSDERC, pp. 282-285, 2010.

  395. Design and modeling of a flexible contact mode piezoresistive detector for time based acceleration sensing
    V. Rajaraman; Hau Bou sing; Luis Rocha; P.J. French; K.A.A. Makinwa;
    In B Jakoby; M.J. Vellekoop (Ed.), Eurosensors XXIV,
    Elsevier, pp. 1063-1066, 2010.

  396. A CMOS temperature sensor with an energy-efficient zoom ADC and an inaccuracy of ±0.25°C (3¿) from -40°C to 125°C
    K. Souri; S.M. Kashmiri; K.A.A. Makinwa;
    In 2010 IEEE International solid-state circuits conference; Digest of technical papers (ISSCC) 2010,
    IEEE, pp. 310-311, 2010.

  397. A temperature sensor in 0.18 micrometer CMOS with 62 microwatt power consumption and a range of -120..120 degree C.
    J.H.R. Schrader; A. Stellinga; K.A.A. Makinwa;
    In {Bedi et al}, R (Ed.), Proceedings International workshop on Analog and Mixed signal Integrated Circuits for Space Applications (AMICSA 2010),
    ESA, pp. 1-20, 2010.

  398. A 2.4GHz 830pJ/bit duty-cycled wake-up receiver with -82dBm sensitivity for crystal-less wireless sensor nodes
    Salvatore Drago; Domine M.W. Leenaerts; Fabio Sebastiano; and Lucien J. Breems; Kofi A.A. Makinwa; Bram Nauta;
    In International Solid-state Circuits Conference Digest of Technical Papers,
    San Francisco, CA, pp. 224 - 225, February7--11 2010. DOI: 10.1109/ISSCC.2010.5433955
    Keywords: ... CMOS integrated circuits;UHF integrated circuits;field effect MMIC;radio receivers;ultra wideband communication;wireless sensor networks;CMOS wake up receiver;bit rate 500 kbit/s;broadband IF heterodyne architecture;crystal less wireless sensor nodes;frequency 2.4 GHz;impulse radio modulation;non coherent energy detection;power 415 muW;size 65 nm;Baseband;Bit error rate;Clocks;Filters;Gain measurement;Pulse amplifiers;Radio frequency;Radiofrequency amplifiers;Voltage;Wireless sensor networks.

    Abstract: ... A 65 nm CMOS 2.4 GHz wake-up receiver operating with low-accuracy frequency references has been realized. Robustness to frequency inaccuracy is achieved by employing non-coherent energy detection, broadband-IF heterodyne architecture and impulse-radio modulation. The radio dissipates 415 µW at 500 kb/s and achieves a sensitivity of -82 dBm with an energy efficiency of 830 pJ/bit.

  399. A 65-nm CMOS temperature-compensated mobility-based frequency reference for Wireless Sensor Networks
    Fabio Sebastiano; Lucien J. Breems; Kofi Makinwa; Salvatore Drago; Domine M. W. Leenaerts; Bram Nauta;
    In Proc. European Solid-State Circuits Conference,
    Sevilla, Spain, pp. 102 - 105, September14--16 2010. DOI: 10.1109/ESSCIRC.2010.5619792
    Keywords: ... CMOS integrated circuits;MOSFET;electron mobility;wireless sensor networks;CMOS temperature-compensated mobility;MOS transistor;current 42.6 muA;electron mobility;frequency 150 kHz;frequency reference;size 65 nm;temperature -55 C to 125 C;voltage 1.2 V;wireless sensor network;Accuracy;CMOS integrated circuits;Calibration;Oscillators;Temperature measurement;Temperature sensors;Wireless sensor networks.

    Abstract: ... For the first time, a temperature-compensated CMOS frequency reference based on the electron mobility in a MOS transistor is presented. Over the temperature range from -55 °C to 125 °C, its frequency spread is less than ±0.5% after a two-point trim and less than ±2.7% after a one-point trim. These results make it suitable for use in Wireless Sensor Network nodes. Fabricated in a baseline 65-nm CMOS process, the 150 kHz frequency reference occupies 0.2 mm² and draws 42.6 µA from a 1.2-V supply at room temperature.

  400. A Thermal-diffusivity-based Frequency Reference in Standard CMOS with an Absolute Inaccuracy of ±0.1\% from -55°C to 125°C
    M. Kashmiri; M. Pertijs; K. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 74‒75, February 2010. DOI: 10.1109/ISSCC.2010.5434042
    Abstract: ... Most electronic systems require a frequency reference, and so, much research has been devoted to the realization of on-chip frequency references in standard CMOS. However, the accuracy of such references is limited by the process spread and temperature drift of on-chip components. By means of trimming and temperature compensation, RC and ring oscillators have achieved inaccuracies in the order of 1\%. LC oscillators achieve inaccuracies below 0.1\%, but dissipate much more power. This paper describes a new approach, which exploits the well-defined thermal diffusivity of IC-grade silicon in order to generate frequencies stable to 0.1\% over process and temperature variations. Such thermal diffusivity (TD) frequency references dissipate less power than LC oscillators, are more accurate than RC and ring oscillators and, uniquely, scale well with process.

  401. A 1.2V 10µW NPN-based temperature sensor in 65nm CMOS with an inaccuracy of ±0.2°C (3σ) from -70°C to 125°C
    Fabio Sebastiano; Lucien J. Breems; Kofi Makinwa; Salvatore Drago; Domine M. W. Leenaerts; Bram Nauta;
    In International Solid-state Circuits Conference Digest of Technical Papers,
    San Francisco, CA, pp. 312 - 313, February7--11 2010. DOI: 10.1109/ISSCC.2010.5433895
    Keywords: ... CMOS integrated circuits;signal processing equipment;temperature sensors;CMOS technology;batch calibrated inaccuracy;current 8.3 �A;power 10 �W;size 65 nm;temperature -70 C to 125 C;temperature sensor;voltage 1.2 V;CMOS technology;Pipelines;Robustness;Sampling methods;Switches;Tail;Temperature sensors;Testing;Timing;Voltage.

    Abstract: ... A temperature sensor utilizing NPN transistors has been realized in a 65 nm CMOS process. It achieves a batch-calibrated inaccuracy of ±0.5°C (3σ) and a trimmed inaccuracy of ±0.2°C (3σ) from -70°C to 125°C The sensor draws 8.3 µA from a 1.2 V supply and occupies an area of 0.1 mm².

  402. A temperature-to-digital converter based on an optimized electrothermal filter
    S.M. Kashmiri; S. Xia; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 44, Issue 7, pp. 2026-2035, 2009.

  403. A chopper current-feedback instrumentation amplifier with a 1mHz 1/f noise corner and an AC-coupled ripple reduction loop
    R. Wu; K.A.A. Makinwa; J.H. Huijsing;
    IEEE Journal of Solid State Circuits,
    Volume 44, pp. 3232-3243, 2009.

  404. Impulse-Based Scheme for Crystal-Less ULP Radios
    Salvatore Drago; Fabio Sebastiano; Lucien J. Breems; Domine M.W. Leenaerts; Kofi A.A. Makinwa; Bram Nauta;
    {IEEE} Trans. Circuits Syst. {I},
    Volume 56, Issue 5, pp. 1041 - 1052, May 2009. DOI: 10.1109/TCSI.2009.2015208
    Keywords: ... access protocols;ad hoc networks;clocks;low-power electronics;modulation;ultra wideband communication;wireless sensor networks;ad hoc modulation;crystal-less ULP radio;crystal-less clock generator;duty-cycled wake-up radio;frequency 17.7 MHz;frequency 2.4 GHz;impulse radio;medium access control protocol;power 100 muW;ultra-low-power radio;wireless sensor network;Crystal-less clock;EDICS Category: COMM110A5, COMM200, COMM250A5;impulse radio;ultra-low power (ULP);wake-up radio;wireless sensor network (WSN).

    Abstract: ... This study describes a method of implementing a fully integrated ultra-low-power (ULP) radio for wireless sensor networks (WSNs). This is achieved using an ad hoc modulation scheme (impulse radio), with a bandwidth of 17.7 MHz in the 2.4 GHz-ISM band and a specific medium access control (MAC) protocol, based on a duty-cycled wake-up radio and a crystal-less clock generator. It is shown that the total average power consumption is expected to be less than 100 µW with a clock generator inaccuracy of only 1%.

  405. A Low-Voltage Mobility-Based Frequency Reference for Crystal-Less ULP Radios
    Fabio Sebastiano; Lucien J. Breems; Kofi A.A. Makinwa; Salvatore Drago; Domine M.W. Leenaerts; Bram Nauta;
    {IEEE} J. Solid-State Circuits,
    Volume 44, Issue 7, pp. 2002 -2009, July 2009. DOI: 10.1109/JSSC.2009.2020247
    Keywords: ... CMOS integrated circuits;MOSFET;wireless sensor networks;CMOS technology;MOS transistor;crystal-less ULP radios;current 34 muA;electron mobility;frequency 100 kHz;low-voltage low-power circuit;low-voltage mobility-based frequency reference;size 65 nm;temperature -22 degC to 85 degC;temperature 293 K to 298 K;voltage 1.2 V;wireless sensor networks;CMOS technology;Circuits;Electron mobility;Energy consumption;Frequency synchronization;MOSFETs;Oscillators;Silicon;Temperature sensors;Wireless sensor networks;CMOS analog integrated circuits;Charge carrier mobility;crystal-less clock;low voltage;relaxation oscillators;ultra-low power;wireless sensor networks.

    Abstract: ... The design of a 100 kHz frequency reference based on the electron mobility in a MOS transistor is presented. The proposed low-voltage low-power circuit requires no off-chip components, making it suitable for application in wireless sensor networks (WSN). After a single-point calibration, the spread of its output frequency is less than 1.1% (3σ) over the temperature range from -22 °C to 85 °C . Fabricated in a baseline 65 nm CMOS technology, the frequency reference circuit occupies 0.11 mm² and draws 34 µA from a 1.2 V supply at room temperature.

  406. Dynamic offset compensated CMOS amplifiers
    J.F. Witte; K.A.A. Makinwa; J.H. Huijsing;
    Springer, , 2009.

  407. A low noise current feedback instrumentation amplifier for high precision thermistor bridge
    Wu Rong; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    Sense of Contact 2009, , pp. 01-04, 2009.

  408. CMOS temperature sensors based on thermal diffusion
    C.P.L. van Vroonhoven; S.M. Kashmiri; K.A.A. Makinwa;
    s.n. (Ed.);
    Sense of Contact 2009, , pp. 1-4, 2009.

  409. Linearization of a thermal diffusivity based temperature sensor
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    s.n. (Ed.);
    IEEE Sensors, , pp. 1697-1700, 2009.

  410. Implementation and Characterization of a femto-Farad Capacitive Sensor for pico-Liter Liquid Monitoring.
    J. Wei; C. Yue; Z.L. Chen; Z.W. Liu; K.A.A. Makinwa; P.M. Sarro;
    In J. Brugger; D. Briand (Ed.), Eurosensors XXIII,
    Lausanne, Switzerland, Elsevier, pp. 120-123), 2009.
    document

  411. CMOS temperature sensors based on thermal diffusion
    C.P.L. van Vroonhoven; S.M. Kashmiri; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of the international workshop on thermal investigations of ICs and systems,
    Therminic 2009, pp. 140-143, 2009.

  412. Measuring the thermal diffusivity of CMOS chips
    S.M. Kashmiri; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of IEEE Sensors 2009,
    IEEE, pp. 45-48, 2009.

  413. A multi-bit cascade sigma-delta modulator with an oversampled single-bit DAC
    S.M. Kashmiri; K.A.A. Makinwa; L.J. Breems;
    In s.n. (Ed.), Proceedings of ICECS 2009,
    ICECS, pp. 49-52, 2009.

  414. A multi bit cascaded sigma delta modulator with an oversampled single bit DAC
    S.M. Kashmiri; K.A.A. Makinwa; L.J. Breems;
    In s.n. (Ed.), Proceedings of International Conference on Electronics Circuits and Systems,
    ICECS, pp. 49-52, 2009.

  415. A digitally assisted electrothermal frequency locked loop
    S.M. Kashmiri; K.A.A. Makinwa;
    In D Tsoukalas; Y Papananos (Ed.), Proceedings of ESSCIRC 2009,
    ESSCIRC, pp. 296-299, 2009.

  416. A chopper and auto-zero offset-stabilized CMOS instrumentation amplifier
    J.F. Witte; J.H. Huijsing; K.A.A. Makinwa;
    In K Yano (Ed.), IEEE Digest of VLSI Circuits 2009,
    IEEE, pp. 210-211, 2009.

  417. A 200 µA duty-cycled PLL for wireless sensor nodes
    Salvatore Drago; Domine M.W. Leenaerts; Bram Nauta; Fabio Sebastiano; Kofi A.A. Makinwa; Lucien J. Breems;
    In Proc. European Solid-State Circuits Conference,
    Athens, Greece, pp. 132 - 135, September14--18 2009. DOI: 10.1109/ESSCIRC.2009.5325979
    Keywords: ... CMOS integrated circuits;UHF detectors;detector circuits;frequency synthesizers;low-power electronics;phase locked loops;wireless sensor networks;CMOS process;burst mode;current 200 muA;duty cycled PLL;frequency 1 GHz;low power frequency synthesizer;size 0.15 mm;size 0.19 mm;size 65 nm;voltage 1.3 V;wireless sensor nodes;Phase locked loops;Wireless sensor networks.

    Abstract: ... A duty-cycled PLL operating in burst mode is presented. It is an essential building block of a moderately accurate low-power frequency synthesizer suitable for use in nodes for wireless sensor networks. Once in lock, the PLL's frequency error is less than 0.1% (rms). Fabricated in a baseline 65 nm CMOS process, the PLL occupies 0.19 times 0.15 mm² and draws 200 µA from a 1.3-V supply when generating a 1 GHz signal with a duty cycle of 10%.

  418. A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25°C (3σ) from -70°C to 130°C
    A. L. Aita; M. Pertijs; K. Makinwa; J. H. Huijsing;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 342‒343, February 2009. DOI: 10.1109/ISSCC.2009.4977448
    Abstract: ... A major contributor to the total cost of precision CMOS temperature sensors is the cost of trimming and calibration. Significant cost savings can be obtained by batch calibration, but this is usually at the expense of an equally significant loss of accuracy. This paper presents a CMOS temperature sensor with a batch-calibrated inaccuracy of ±0.25°C (3σ) from -70°C to 130°C, which represents a 2x improvement over the state of the art. Individual trimming reduces the sensor's inaccuracy to ±0.1°C (3σ) over the military range: -55°C to 125°C. The sensor draws 25μA from a 2.5V to 5.5V supply, which is significantly less than commercial products with comparable accuracy.

  419. Implementation and Characterization of a femto-Farad Capacitive Sensor for pico-Liter Liquid Monitoring
    J. Wei; C. Yue; ZL. Chen; Z.W. Liu; K.A.A. Makinwa; P.M. Sarro;
    In J Brugger; D Briand (Ed.), Proceeding of EUROSENSORS XXIII,
    Elsevier, pp. 120-123, 2009.

  420. Oscillator based on thermal diffusion
    K.A.A. Makinwa; J.F. Witte;
    2009.

  421. Forewarned is four-armed;classic analog misteakes to avoid
    K.A.A. Makinwa;
    2009.

  422. Chopper stabilized amplifiers combining low chopper noise and linear frequency characteristics
    J.H. Huijsing; K.A.A. Makinwa; J.F. Witte;
    2009.

  423. Interface electronics for a CMOS electrothermal frequency-locked-loop
    C. Zhang; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 43, Issue 7, pp. 1603-1608, 2008.

  424. A current-feedback instrumentation amplifier with 5 microvolts offset for bidirectional high-side current-sensing
    J.F. Witte; J.H. Huijsing; K.A.A. Makinwa;
    IEEE Journal of Solid State Circuits,
    Volume 43, Issue 12, pp. 2769-2775, 2008.

  425. High-speed sigma-delta converters
    M. Bolatkale; L.J. Breems; K.A.A. Makinwa;
    s.n. (Ed.);
    ProRISC, , pp. 143-148, 2008.

  426. The design of a chopped current-feedback instrumentation amplifier
    R. Wu; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), ISCAS 2008, IEEE International Symposium,
    ISCAS, pp. 2466-2469, 2008.

  427. A current-feedback instrumentation amplifier with 5 microvolts offset for bidirectional high-side current-sensing
    J.F. Witte; J.H. Huijsing; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of ISSCC 2008,
    ISSCC, pp. 74-76, 2008.

  428. Fabrication of accelerometers by thin-SOI micromachining
    V. Rajaraman; L. Pakula; K.A.A. Makinwa; P.J. French;
    In s.n. (Ed.), Proceedings of sense of contact X,
    Sense of contact X, pp. 1-4, 2008. NEO.

  429. A temperature to digital converter based on an optimized electrothermal filter
    S.M. Kashmiri; S. Xia; K.A.A. Makinwa;
    In W Redman-White; A Walton (Ed.), Proceedings of the 34th European Solid-State Circuits Conference, 2008. ESSCIRC 2008,
    IEEE, pp. 74-77, 2008.

  430. A CMOS temperature-to-digital converter with an inaccuracy of +_ 0.5degree celsius (3 ) from -55 to 125 degree celsius
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    In {K.Pagiamztis L.C. Fujino, M.Amiri, G.Gulak}, S.Mirabbasi; R.Specner (Ed.), Proceedings of ISSCC 2008,
    ISSCC, pp. 576,577-637, 2008.

  431. Thermal diffusivity sensors for wide-range temperature sensing
    C.P.L. van Vroonhoven; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of IEEE Sensors 2008,
    IEEE Sensors, pp. 764-767, 2008.

  432. DRIE and bonding assisted low cost MEMS processing of inplane HAR inertial sensors
    V. Rajaraman; K.A.A. Makinwa; P.J. French;
    In s.n. (Ed.), Proceedings of ASDAM 2008,
    ASDAM, pp. 327-330, 2008.

  433. Fabrication of accelerometers by thin-SOI micromachining
    V. Rajaraman; L. Pakula; K.A.A. Makinwa; P.J. French;
    In s.n. (Ed.), Proceedings of sense of contact X,
    Sense of contact X, pp. 1-4, 2008. NEO.

  434. A low power chopper current-feedback instrumentation amplifier with noise PSD of 17nV/Hz
    R. Wu; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), ProRISC, pp. 279-282, 2008.

  435. Impulse Based Scheme for Crystal-less ULP Radios
    Fabio Sebastiano; Salvatore Drago; Lucien J. Breems; Domine M.W. Leenaerts; Kofi A.A. Makinwa; Bram Nauta;
    In Proc. IEEE International Symposium on Circuits and Systems,
    pp. 1508 - 1511, May18--21 2008. DOI: 10.1109/TCSI.2009.2015208
    Keywords: ... access protocols;ad hoc networks;clocks;low-power electronics;modulation;ultra wideband communication;wireless sensor networks;ad hoc modulation;crystal-less ULP radio;crystal-less clock generator;duty-cycled wake-up radio;frequency 17.7 MHz;frequency 2.4 GHz;impulse radio;medium access control protocol;power 100 muW;ultra-low-power radio;wireless sensor network;Crystal-less clock;EDICS Category: COMM110A5, COMM200, COMM250A5;impulse radio;ultra-low power (ULP);wake-up radio;wireless sensor network (WSN).

    Abstract: ... This study describes a method of implementing a fully integrated ultra-low-power (ULP) radio for wireless sensor networks (WSNs). This is achieved using an ad hoc modulation scheme (impulse radio), with a bandwidth of 17.7 MHz in the 2.4 GHz-ISM band and a specific medium access control (MAC) protocol, based on a duty-cycled wake-up radio and a crystal-less clock generator. It is shown that the total average power consumption is expected to be less than 100 µW with a clock generator inaccuracy of only 1%.

  436. A Low-Voltage Mobility-Based Frequency Reference for Crystal-Less ULP Radios
    Fabio Sebastiano; Lucien J. Breems; Kofi A.A. Makinwa; Salvatore Drago; Domine M.W. Leenaerts; Bram Nauta;
    In Proc. European Solid-State Circuits Conference,
    Edinburgh, UK, pp. 306 - 309, September15--19 2008. DOI: 10.1109/ESSCIRC.2008.4681853
    Keywords: ... CMOS integrated circuits;MOSFET circuits;electron mobility;integrated circuit design;low-power electronics;mobile radio;wireless sensor networks;MOS transistor;crystal less ULP radios;electron mobility;frequency 100 kHz;low voltage mobility based frequency reference;off-chip components;one point calibration;size 65 nm;temperature -22 degC to 85 degC;voltage 1.2 V;wireless sensor networks;CMOS technology;Calibration;Circuits;Energy consumption;Frequency;Oscillators;Silicon;Temperature distribution;Temperature sensors;Wireless sensor networks.

    Abstract: ... The design of a 100 kHz frequency reference based on the electron mobility in a MOS transistor is presented. The proposed low-voltage low-power circuit requires no off-chip components, making it suitable for Wireless Sensor Networks (WSN) applications. After one-point calibration the spread of its output frequency is less than 1.1% (3σ) over the temperature range from -22 °C to 85 °C. Fabricated in a baseline 65-nm CMOS technology, the frequency reference occupies 0.11 mm² and draws 34 µA from a 1.2-V supply at room temperature.

  437. On the Temperature Compensation of a Frequency Reference for Crystal-Less ULP Wireless Sensor Networks
    Fabio Sebastiano; Lucien J. Breems; Kofi A.A. Makinwa; Salvatore Drago; Domine M.W. Leenaerts; Bram Nauta;
    In Proc. ProRISC,
    Veldhoven, The Netherlands, pp. 306 - 309, September27--18 2008.
    Abstract: ... Each node in a Wireless Sensor Network (WSN) must be provided with a frequency reference to enable network synchronization and RF communication. As the nodes need to be small, cheap and energy efcient, a frequency reference suitable for WSN must show low power consumption and require no off-chip components. A reference based on electron mobility in a MOS transistor demonstrates such features. Its output frequency follows the temperature dependence of mobility, which, although large, is well dened and can be compensated for. It is shown that a temperature sensor with accuracy of only 0.6 °C can be employed for the temperature compensation and that the inaccuracy of a compensated mobility-based frequency reference due to temperature, process spread, voltage supply variations and noise can be as low as 1% on a wide temperature range, fitting radio architectures for WSN applications.

  438. Voltage calibration of smart temperature sensors
    M. A. P. Pertijs; A. L. Aita; K. A. A. Makinwa; J. H. Huijsing;
    In Proc. IEEE Sensors Conference,
    IEEE, pp. 756‒759, October 2008. DOI: 10.1109/icsens.2008.4716551

  439. Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensing
    N. Saputra; M. A. P. Pertijs; K. A. A. Makinwa; J. H. Huijsing;
    In Proc. IEEE International Symposium on Circuits and Systems (ISCAS),
    IEEE, pp. 1208‒1211, May 2008. DOI: 10.1109/iscas.2008.4541641

  440. A BiCMOS Operational Amplifier Achieving 0.33μV/°C Offset Drift using Room-Temperature Trimming
    M. Bolatkale; M. A. P. Pertijs; W. J. Kindt; J. H. Huijsing; K. A. A. Makinwa;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 76‒77, February 2008. DOI: 10.1109/isscc.2008.4523064

  441. Bitstream controlled reference signal generation for a sigma-delta modulator
    M. A. P. Pertijs; K. A. A. Makinwa; J. H. Huijsing;
    Patent, United States 7,391,351, June 2008.

  442. Multiple-ramp column-parallel ADC architectures for CMOS image sensors
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    IEEE Journal of Solid State Circuits,
    Volume 42, Issue 12, pp. 2968-2977, 2007.

  443. A CMOS chopper offset-stabilized opamp
    J.F. Witte; K.A.A. Makinwa; J.H. Huijsing;
    IEEE Journal of Solid State Circuits,
    Volume 42, Issue 7, pp. 1529-1535, 2007.

  444. An IF-to-baseband sigma delta modulator for AM/FM/IBOC radio receivers with a 118 dB dynamic range
    P.G.R. Silva; K.A.A. Makinwa; J.H. Huijsing; L.J. Breems; R. Roovers;
    IEEE Journal of Solid State Circuits,
    Volume 42, Issue 5, pp. 1076-1089, 2007.

  445. High-Precision Read-Out Circuit for Thermistor Temperature Sensor
    R. Wu; K.A.A. Makinwa; J.H. Huijsing; S. Nihtianov;
    , pp. -, 2007.

  446. Standard CMOS Hall-Sensor with Integrated Interface Electronics for a 3D Compass Sensor
    J. van der MeerC; K.A.A. Makinwa; J.H. Huijsing; F.R. Riedijk;
    In s.n. (Ed.), Standard CMOS Hall-Sensor with Integrated Interface Electronics for a 3D Compass Sensor,
    IEEE, pp. 1-4, 2007.

  447. A CMOS image sensor with a column-level multiple-ramp single-slope ADC
    M.F. Snoeij; P. Donegan; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International,
    IEEE, pp. 1-4, 2007.

  448. The effect of substrate doping on the behaviour of a CMOS electrothermal frequency-locked-loop
    C. Zhang; K.A.A. Makinwa;
    In s.n. (Ed.), Solid-State Sensors, Actuators and Microsystems Conference, 2007. TRANSDUCERS 2007. International,
    IEEE, pp. 2283-2286, 2007.

  449. Interface electronics for a CMOS electrothermal frequency-locked-loop
    C. Zhang; K.A.A. Makinwa;
    In D Schmitt-Landsiedel; T Noll (Ed.), Proceedings of the 33rd European Solid State Circuits Conference, 2007. ESSCIRC 2007,
    IEEE, pp. 292-295, 2007.

  450. Low-power and accurate operation of a CMOS smart temperature sensor based on bipolar devices and Delta-Sigma A/D converter
    A.L. Aita; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings Microelectronics and Electronics Conference, 2007,
    IEEE, pp. 133-136, 2007.

  451. Fabrication of a SOG-MEMS vibratory gyroscope by deep RIE technology and bonding (U_SP_2_I_IC_T)
    V. Rajaraman; G. Craciun; H. Yang; L. Pakula; EW.J.M. van der Drift; K.A.A. Makinwa; P.J. French;
    In {A. Liu, J.Wu, C. Lu}; {C.D. Reddy} (Ed.), MEMS Technology and Devices,
    pan Stanford, pp. 238-241, 2007.

  452. Electronics for Physicists: does the studio classroom solve the problem?
    K.A.A. Makinwa; E. Lagendijk; D.R. Schaart; E.H. van Veen;
    In {Gómez Chova}, L; {Marti Belenguer}, D; {Candel Torres}, I (Ed.), INTED2007 Proceedings,
    INTED, pp. 1-6, 2007.

  453. DRIE assisted HAR MEMS processing of inertial sensors and actuators
    V. Rajaraman; S.L. Paalvast; G. Craciun; J.C. Wolff; K.A.A. Makinwa; P.J. French;
    In s.l. (Ed.), Book of Abstracts - 18th Workshop on MicroMechanics Europe, MME 2007,
    MME, pp. 333-336, 2007.

  454. A three stage amplifier with quenched multipath frequency compensation for all capacitive loads
    J. Hu; J.H. Huijsing; K.A.A. Makinwa;
    In s.n. (Ed.), Circuits and Systems, 2007. ISCAS 2007. IEEE International Symposium on,
    IEEE, pp. 225-228, 2007.

  455. Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors
    M.F. Snoeij; A.J.P. Theuwissen; J.H. Huijsing; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings IEEE Sensors 2007,
    IEEE, pp. 523-526, 2007.

  456. Low-power operation of a precision CMOS temperature sensor based on substrate PNPs
    A.L. Aita; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings IEEE Sensors 2007,
    IEEE, pp. 856-859, 2007.

  457. Design of an optimized electrothermal filter for a temperature-to-frequency converter
    S. Xia; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings Sensors, 2007 IEEE,
    IEEE, pp. 1255-1258, 2007.

  458. Smart sensor design: the art of compensation and cancellation
    K. A. A. Makinwa; M. A. P. Pertijs; J. C. van der Meer; J. H. Huijsing;
    In Proc. European Solid-State Circuits Conference (ESSCIRC),
    IEEE, pp. 76‒82, September 2007. DOI: 10.1109/esscirc.2007.4430251

  459. A CMOS Imager With Column-Level ADC Using Dynamic column Fixed-pattern Noise Reduction (U-SP-2-I-ICT)
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    IEEE Journal of Solid State Circuits,
    Volume 41, Issue 12, pp. 3007-3015, 2006.

  460. A CMOS Temperature-to-Frequency Converter with an Inaccuracy of 0.5 degrees C from -40 to 105 degrees C (U-SP-2-I-ICT)
    K.A.A. Makinwa; M.F. Snoeij;
    IEEE Journal of Solid State Circuits,
    Volume 41, Issue 12, pp. 1-6, 2006.

  461. A Solid-state 2-D Wind Sensor (U-SP-2-I-ICT)
    K.A.A. Makinwa; J.H. Huijsing; A. Hagedoorn;
    Lecture Notes in Computer Science,
    Issue 4017, pp. 1-8, 2006.

  462. An 118dB CT IF-to-Baseband/spl sigma//spl Delta/Modulator for AM/FM/IBOC Radio Receivers (U-SP-2-I-ICT)
    P.G.R. Silva; K.A.A. Makinwa; J.H. Huijsing; L.J. Breems; R. Roovers;
    s.n. (Ed.);
    IEEE, , pp. 1-10, 2006.

  463. A CMOS temperature-to-frequency converter with an inaccuracy of 0.5 degrees C from -40 to 105 degrees C (U-SP-2-I-ICT)
    K.A.A. Makinwa; M.F. Snoeij;
    s.n. (Ed.);
    IEEE, , pp. 1141-1150, 2006.

  464. A CMOS Imager with Column-Level ADC Using Dynamic Column FPN Reduction (U-SP-2-I-ICT)
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    s.l., , pp. 498-499, 2006.

  465. A CMOS Imager with column-level ADC using dynamic column FPN reduction (U-SP-2-I-ICT)
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    s.l., , pp. 2014-2023, 2006.

  466. Column-parallel single-slope ADCS for CMOS image sensors (U-SP-2-I-ICT)
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    Eurosensors, , pp. 1-4, 2006.

  467. Sigma Delta ADC with accurate dynamic reference for temperature sensing and voltage monitoring (U-SP-2-I-ICT)
    N. Saputra; J.H. Huijsing; K.A.A. Makinwa;
    In s.n. (Ed.), Sigma Delta ADC with accurate dynamic reference for temperature sensing and voltage monitoring,
    ProRISC, pp. 1-5, 2006.

  468. A CMOS chopper offset-stabilized opamp (U-SP-2-I-ICT)
    J.F. Witte; K.A.A. Makinwa; J.H. Huijsing;
    In Ch Enz; M Declercq; Y Leblebici (Ed.), Proceedings of the 32nd European Solid-State Circuits Conference, 2006. ESSCIRC 2006,
    IEEE, pp. 360-363, 2006.

  469. Noise analysis of continuous-time /spl sigma// spl delta/modulators with switched-capacitor feedback DAC (U-SP-2-I-ICT)
    P.G.R. Silva; K.A.A. Makinwa; J.H. Huijsing; L.J. Breems;
    In s.n. (Ed.), Proceedings of the 2006 ISCAS Conference,
    IEEE, pp. 1-4, 2006.

  470. An 8-bit, 4-Gsample/s Track-and-Hold in a 67GHz fT SiGe BiCMOS technology (U-SP-2-I-ICT)
    D. Smola; J.H. Huijsing; K.A.A. Makinwa; H. van der Ploeg; M. Vertregt; L.J. Breems;
    In Ch Enz; M Declercq; Y Leblebici (Ed.), Proceedings of the 32nd European Solid-State Circuits Conference, 2006. ESSCIRC 2006,
    IEEE, pp. 1-4, 2006.

  471. A 110dB dynamic range continuous-time IF-to-baseband sigma-delta modulator for AM/FM/IBOC receivers (U-SP-2-I-ICT)
    P.G.R. Silva; L.J. Breems; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), Proceedings of the 2006 ISCAS Conference,
    IEEE, pp. 1-4, 2006.

  472. "Column-parallel Single Slope ADCs for CMOS Image Sensors" (U-SP-2-I-ICT)
    M.F. Snoeij; A.J.P. Theuwissen; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), Eurosensors XX 2006,
    Eurosensors, pp. 284-287, 2006.

  473. Sigma Delta ADC with Accurate Dynamic Reference for Temperature Sensing and Voltage Monitoring
    N. Saputra; M. A. P. Pertijs; K. A. A. Makinwa; J. H. Huijsing;
    In Annual Workshop on Circuits, Systems and Signal Processing (ProRISC),
    The Netherlands, pp. 80‒84, November 2006.

  474. A Servo Format for Disks, Preferably Hard Disks (U-SP-2-I-ICT)
    K.A.A. Makinwa; W. Bergmans;
    2006.

  475. Oscillator based on thermal diffusion (U_SP_2_I_IC_T)
    K.A.A. Makinwa; J.F. Witte;
    2006.

  476. A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1°C from -55°C to 125°C
    M. A. P. Pertijs; K. A. A. Makinwa; J. H. Huijsing;
    IEEE Journal of Solid-State Circuits,
    Volume 40, Issue 12, pp. 2805‒2815, December 2005. (JSSC Best Paper Award). DOI: 10.1109/JSSC.2005.858476
    Abstract: ... A smart temperature sensor in 0.7 μm CMOS is accurate to within ±0.1°C (3σ) over the full military temperature range of -55°C to 125°C. The sensor uses substrate PNP transistors to measure temperature. Errors resulting from nonidealities in the readout circuitry are reduced to the 0.01°C level. This is achieved by using dynamic element matching, a chopped current-gain independent PTAT bias circuit, and a low-offset second-order sigma-delta ADC that combines chopping and correlated double sampling. Spread of the base-emitter voltage characteristics of the substrate PNP transistors is compensated by trimming, based on a calibration at one temperature. A high trimming resolution is obtained by using a sigma-delta current DAC to fine-tune the bias current of the bipolar transistors.

  477. Low-Cost Epoxy Packaging of CMOS Hall-effect Compasses (U-SP-2-I-ICT)
    J. van der Meer; F.R. Riedijk; E.J. van Kampen; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    IEEE, , pp. 65-68, 2005.

  478. Low-cost epoxy packaging of CMOS Hall-effect compasses (U-SP-2-I-ICT)
    J. van der Meer; K.A.A. Makinwa; J.H. Huijsing; F.R. Riedijk; E.J. van Kampen;
    s.n. (Ed.);
    IEEE, , pp. 65-68, 2005.

  479. A temperature sensor based on a thermal oscillator (U-SP-2-I-ICT)
    K.A.A. Makinwa; J.F. Witte;
    s.n. (Ed.);
    IEEE, , pp. 1149-1152, 2005.

  480. A 2nd order thermal sigma-delta modulator for flow sensing (U-SP-2-I-ICT)
    K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    IEEE, , pp. 549-552, 2005.

  481. A fully-integrated CMOS Hall sensor with a 4.5uT, 3s offset spread for compass applications (U-SP-2-I-ICT)
    J. van der Meer; K.A.A. Makinwa; J.H. Huijsing;
    s.n. (Ed.);
    s.l., , pp. 246-247-195,6, 2005.

  482. A fully integrated CMOS hall sensor with a 3.65/spl mu/T 3/spl sigma/ offset for compass applications
    J. van der MeerC; F.R. Riedijk; E.A. van Kampen; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), ISSCC 2005 conference digest,
    IEEE, pp. 246-247, 2005. geen editors-sb.

  483. Ultra high-speed sampling track-and-hold amplifier in SiGe Bi-CMOS technology
    D. Smola; H. van der Ploeg; M. Vertregt; L. Breems; J.H. Huijsing; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of the STW annual workshop on semiconductor advances for future electronics and sensors (SAFE 2005),
    Technologiestichting STW, pp. 295-298, 2005. Editor onbekend, WPM/STW.

  484. A high resolution IF-to-baseband continious-time ¿¿ modulator for AM/FM/IBOC radio receiver
    P.G.R. Silva; L.J. Breems; K.A.A. Makinwa; J.H. Huijsing;
    In s.n. (Ed.), Proceedings of ProRISC 2005, 16th Annual Workshop on Circuits, Systems and Signal Processing,
    Dutch Technology Foundation, pp. 289-294, 2005. editors onbekend, sb.

  485. A 2nd order sigma-delta ADC as an interface circuit for SOI accelerometers
    Y. Yu; S. Butselaar; K.A.A. Makinwa;
    In s.n. (Ed.), Proceedings of ProRISC 2005, 16th Annual Workshop on Circuits, Systems and Signal Processing,
    Dutch Technology Foundation, pp. 316-319, 2005. Editor onbekend, JH/STW.

  486. A CMOS temperature sensor with a 3σ inaccuracy of ±0.1°C from -55°C to 125°C
    M. Pertijs; K. Makinwa; J. Huijsing;
    In Dig. Techn. Papers IEEE International Solid-State Circuits Conference (ISSCC),
    IEEE, pp. 238‒596, February 2005. ({ISSCC} 2005 {Jack} {Kilby} Award for Outstanding Student Paper). DOI: 10.1109/ISSCC.2005.1493957
    Abstract: ... A smart temperature sensor is accurate to within ±0.1°C (3σ) over the full military temperature range of -55°C to 125°C. This 5x improvement is achieved using DEM, a current-gain independent PTAT bias circuit, and a low-offset ΔΣ ADC combining chopping and CDS. The sensor is fabricated in 0.7μm 2M1P CMOS with 4.5mm² area and draws 75μA.

  487. Oscillator based on thermal diffusion (U-SP-2-I-ICT)
    K.A.A. Makinwa; J.F. Witte;
    2005.

  488. High speed, wide band, digital RF receiver front-end system
    D. Smola; M. Vertregt; H. van der Ploeg; L.J. Breems; J.H. Huijsing; K.A.A. Makinwa; P.G.R. Silva; J.M.V. Misker; Q Sandifort; A Emmerik; {van Donselaar}, B;
    STW, Volume Progress report , 2004.

  489. High speed, wide band, digital RF receiver front-end system
    D. Smola; M. Vertregt; H. van der Ploeg; L.J. Breems; J.H. Huijsing; K.A.A. Makinwa; P.G.R. Silva; J.M.V. Misker; Q Sandifort; A Emmerik; {van Donselaar}, B;
    STW, Volume Progress report , 2004.

  490. The effect of non-idealities in CMOS chopper amplifiers
    J.F. Witte; K.A.A. Makinwa; J.H. Huijsing;
    In SAFE c23891d54bc448e7886feafd1793b771 ProRISC 2004; Proceedings of the program for research on integrated systems and circuits,
    STW Technology Foundation, pp. 616-619, 2004. ed. is niet bekend.

  491. Method of Manufacturing an Electronic Device and Electronic Device (U-SP-2-I-ICT)
    K.A.A. Makinwa; S.G. den Hartog; P.J. French;
    2004.

  492. Method of manufacturing an electronic device and electronic device
    H. Boezen; S.G. den Hartog; P.J. French; K.A.A. Makinwa;
    2004. Koninklijke Philips Electronics NV/niet eerder opgevoerd; WO2004071943; Koninklijke Philips Electronics NV/niet eerder opgevoerd.

  493. Flow sensing with thermal sigma-delta modulators
    K.A.A. Makinwa;
    PhD thesis, Delft University of Technology, 2004.

  494. Compensation of packaging asymmetry in a 2-D wind sensor
    SP. Matova; K.A.A. Makinwa; J.H. Huijsing;
    IEEE Sensors Journal,
    Volume 3, Issue 6, pp. 761-765, 2003.

  495. Servo format for hard disks, preferably hard disks
    J.W.M. Bergmans; K.A.A. Makinwa; J.O. Voorman;
    2003.

  496. Constant power operation of a two-dimensional flow sensor
    K.A.A. Makinwa; J.H. Huijsing;
    IEEE Transactions on Instrumentation and Measurement,
    Volume 51, Issue 4, pp. 840-844, 2002.

  497. A smart wind sensor using thermal sigma-delta modulation techniques
    K.A.A. Makinwa; J.H. Huijsing;
    Sensors and Actuators A: Physical: an international journal devoted to research and development of physical and chemical transducers,
    Volume A 97-98, pp. 15-20, 2002.

  498. A smart CMOS wind sensor
    K.A.A. Makinwa; J.H. Huijsing;
    IEEE International Solid State Circuits Conference. Digest of Technical Papers,
    Volume 45, pp. 432-544, 2002.

  499. Airflow sensors for thermal management
    K.A.A. Makinwa;
    Delft University of Technology, Faculty ITS, , 2002. Confidential.

  500. Modeling and compensation of packaging asymmetry in a 2-D wind sensor
    SP. Matova; K.A.A. Makinwa; J.H. Huijsing;
    s.n., , pp. 70-73, 2002.

  501. Modeling and simulation of thermal sigma-delta modulators
    K.A.A. Makinwa; V. Székely; J.H. Huijsing;
    In The frontier of instrumention and measurement,
    IEEE Instrumentation and Measurement Society, pp. 261-264, 2002.

  502. An oscillator based on a thermal delay line
    J.F. Witte; K.A.A. Makinwa; J.H. Huijsing;
    In Proceedings of SeSens 2002,
    STW Stichting voor de Technische Wetenschappen, pp. 696-699, 2002.

  503. P2-14: Compensation of packaging asymmetry in a 2-D wind sensor
    SP. Matova; K.A.A. Makinwa; J.H. Huijsing;
    In Proceedings of IEEE sensors 2002: first IEEE international conference on sensors. Vol. II,
    IEEE, pp. 1256-1259, 2002.

  504. A wind-sensor interface using thermal sigma-delta modulation techniques
    K.A.A. Makinwa; J.H. Huijsing;
    Sensors and Actuators A: Physical: an international journal devoted to research and development of physical and chemical transducers,
    Volume 92, pp. 280-285, 2001.

  505. A smart wind-sensor based on thermal sigma-delta modulation
    K.A.A. Makinwa; J.H. Huijsing;
    In Springer, pp. 1-4, 2001.

  506. CMOS thermopiles for wafer-thick wind sensor
    SP. Matova; K.A.A. Makinwa; J.H. Huijsing;
    In {et al.}; DR Ivanov (Ed.), The tenth international scientific and applied science conference electronics ET'2001; proceedings of the conference book 1,
    Technical University Sofia, pp. 89-94, 2001.

  507. Industrial design of a solid-state wind sensor
    K.A.A. Makinwa; J.H. Huijsing; A. Hagedoorn;
    In SIcon'01: proceedings,
    IEEE, pp. 68-71, 2001.

  508. A wind sensor with an integrated chopper amplifier
    K.A.A. Makinwa; J.H. Huijsing;
    In SAFE - ProRISC - SeSens 2001: proceedings. Semiconductor Advances for Future Electronics - Program for Research on Integrated Systems and Circuits - Semiconductor Sensor and Actuator Technology,
    STW Technology Foundation, pp. 830-833, 2001.

  509. Thermopile design for a cmos wind-sensor
    K.A.A. Makinwa; SP. Matova; J.H. Huijsing;
    In {M Elwenspoek} (Ed.), Proceedings,
    Kluwer, pp. 77-82, 2001.

  510. Constant power operation of a two-dimensional flow sensor using thermal sigma-delta modulation techniques
    K.A.A. Makinwa; J.H. Huijsing;
    In IMTC'2001: proceedings,
    IEEE, pp. 1577-1580, 2001.

  511. A wind-sensor with integrated interface electronics
    K.A.A. Makinwa; J.H. Huijsing;
    In ISCAS'2001: CD-ROM,
    IEEE, pp. 356-359, 2001.

  512. A wind sensor with an integrated low-offset instrumentation amplifier
    K.A.A. Makinwa; J.H. Huijsing;
    In ICECS 2001: proceedings,
    IEEE, pp. 1505-1508, 2001.

  513. A smart wind sensor using time-multiplexed thermal Sigma-Delta modulators
    K.A.A. Makinwa; J.H. Huijsing;
    In ESSCIRC 2001: proceedings,
    Frontier Group, pp. 460-463, 2001.

  514. Analysis of a biphase-based servo format for hard-disk drives
    K.A.A. Makinwa; J.W.M. Bergmans; J.O. Voorman;
    IEEE Transactions on Magnetics,
    Volume 36, Issue 6, pp. 4019-4027, 2000.

  515. A wind-sensor interface based on thermal sigma-delta modulation
    K.A.A. Makinwa; J.H. Huijsing;
    In {R Reus}, de; {S Bouwstra} (Ed.), Eurosensors XIV,
    Mikroelektronik Centret, pp. 294-252, 2000.

BibTeX support